4838ffe52f05a832317cc62cce44a583dd4a1d8e
[gnulib.git] / MODULES.html
1 <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 3.2//EN">
2 <HTML>
3   <HEAD>
4     <TITLE>Gnulib Module List</TITLE>
5     <META NAME="keywords" CONTENT="acl, alloca, alloca-opt, allocsa, arcfour, arctwo, argmatch, argp, argz, arpa_inet, array-list, array-oset, assert, atexit, avltree-list, avltree-oset, avltreehash-list, backupfile, base64, bcopy, binary-io, bison-i18n, byteswap, c-ctype, c-stack, c-strcase, c-strcasestr, c-strtod, c-strtold, calloc, canon-host, canonicalize, carray-list, chdir-long, chdir-safer, check-version, chown, classpath, clean-temp, cloexec, close-stream, closeout, copy-file, crc, csharpcomp, csharpcomp-script, csharpexec, cycle-check, des, dev-ino, diacrit, dirfd, dirname, dummy, dup2, eealloc, error, euidaccess, exclude, execute, exit, exitfail, extensions, fatal-signal, fcntl-safer, fdl, file-type, fileblocks, filemode, filenamecat, findprog, fnmatch, fnmatch-gnu, fnmatch-posix, fopen-safer, fpending, fprintftime, free, fsusage, ftruncate, fts, fts-lgpl, full-read, full-write, fwriteerror, gc, gc-arcfour, gc-arctwo, gc-des, gc-hmac-md5, gc-hmac-sha1, gc-md2, gc-md4, gc-md5, gc-pbkdf2-sha1, gc-random, gc-rijndael, gc-sha1, gcd, gendocs, getaddrinfo, getcwd, getdate, getdelim, getdomainname, getgroups, gethostname, gethrxtime, getline, getloadavg, getlogin_r, getndelim2, getnline, getopt, getpagesize, getpass, getpass-gnu, getsubopt, gettext, gettext-h, gettime, gettimeofday, getugroups, getusershell, glob, group-member, hard-locale, hash, hash-pjw, havelib, hmac-md5, hmac-sha1, human, iconv, iconvme, idcache, inet_ntop, inet_pton, intprops, inttostr, inttypes, isdir, javacomp, javacomp-script, javaexec, javaversion, lchown, ldd, lib-ignore, linebreak, linebuffer, linked-list, linkedhash-list, list, localcharset, lock, long-options, lstat, maintainer-makefile, malloc, mathl, mbchar, mbfile, mbiter, mbswidth, mbuiter, md2, md4, md5, memcasecmp, memchr, memcmp, memcoll, memcpy, memmem, memmove, mempcpy, memrchr, memset, memxor, minmax, mkancesdirs, mkdir, mkdir-p, mkdtemp, mkstemp, mktime, modechange, mountlist, nanosleep, netinet_in, obstack, openat, oset, pagealign_alloc, pathmax, pathname, physmem, pipe, poll, posixtm, posixver, progname, putenv, quote, quotearg, raise, rbtree-list, rbtree-oset, rbtreehash-list, read-file, readline, readlink, readtokens, readtokens0, readutmp, realloc, regex, rename, rijndael, rmdir, rpmatch, safe-read, safe-write, same, same-inode, save-cwd, savedir, setenv, settime, sh-quote, sha1, sig2str, size_max, snprintf, socklen, ssize_t, stat-macros, stat-time, stdarg, stdbool, stdint, stdlib-safer, stpcpy, stpncpy, strcase, strcasestr, strchrnul, strcspn, strdup, strerror, strftime, strndup, strnlen, strnlen1, strpbrk, strsep, strstr, strtod, strtoimax, strtok_r, strtol, strtoll, strtoul, strtoull, strtoumax, strverscmp, sys_select, sys_socket, sys_stat, sysexits, time_r, timegm, timespec, tls, tmpdir, tmpfile-safer, tzset, ucs4-utf16, ucs4-utf8, ullong_max, unicodeio, unistd, unistd-safer, unlinkdir, unlocked-io, userspec, utf16-ucs4, utf8-ucs4, utime, utimecmp, utimens, vasnprintf, vasprintf, verify, verror, version-etc, version-etc-fsf, visibility, vsnprintf, wait-process, wcwidth, xalloc, xalloc-die, xallocsa, xgetcwd, xgetdomainname, xgethostname, xmemcoll, xnanosleep, xreadlink, xsetenv, xsize, xstrndup, xstrtod, xstrtoimax, xstrtol, xstrtold, xstrtoumax, xvasprintf, yesno">
6   </HEAD>
7   <BODY>
8     <H1>Gnulib Module List</H1>
9     This is a list of the modules which make up gnulib, with dependencies.
10     <H2><A HREF="#ansic_sup">Support for systems lacking ANSI C 89</A></H2>
11     <TABLE>
12       <TR WIDTH="100%">
13         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=assert">assert</A>
14         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Allow the installer to disable assertions through "configure --disable-assert".
15       </TR>
16       <TR WIDTH="100%">
17         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dummy">dummy</A>
18         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A dummy file, to make sure the library is non-empty.
19       </TR>
20       <TR WIDTH="100%">
21         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exit">exit</A>
22         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/exit.html">exit</A>() function: program termination.
23       </TR>
24       <TR WIDTH="100%">
25         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=atexit">atexit</A>
26         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/atexit.html">atexit</A>() function: register a function to be called at program termination.
27       </TR>
28       <TR WIDTH="100%">
29         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtod">strtod</A>
30         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtod.html">strtod</A>() function: convert string to 'double'.
31       </TR>
32       <TR WIDTH="100%">
33         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtol">strtol</A>
34         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtol.html">strtol</A>() function: convert string to 'long'.
35       </TR>
36       <TR WIDTH="100%">
37         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoul">strtoul</A>
38         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoul.html">strtoul</A>() function: convert string to 'unsigned long'.
39       </TR>
40       <TR WIDTH="100%">
41         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memchr">memchr</A>
42         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memchr.html">memchr</A>() function: scan memory for a byte.
43       </TR>
44       <TR WIDTH="100%">
45         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcmp">memcmp</A>
46         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcmp.html">memcmp</A>() function: compare memory areas.
47       </TR>
48       <TR WIDTH="100%">
49         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcpy">memcpy</A>
50         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcpy.html">memcpy</A>() function: copy memory area.
51       </TR>
52       <TR WIDTH="100%">
53         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmove">memmove</A>
54         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memmove.html">memmove</A>() function: copy memory area.
55       </TR>
56       <TR WIDTH="100%">
57         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memset">memset</A>
58         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memset.html">memset</A>() function: fill memory with a constant byte.
59       </TR>
60       <TR WIDTH="100%">
61         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcspn">strcspn</A>
62         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strcspn.html">strcspn</A>() function: search a string for any of a set of characters.
63       </TR>
64       <TR WIDTH="100%">
65         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strpbrk">strpbrk</A>
66         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strpbrk.html">strpbrk</A>() function: search a string for any of a set of characters.
67       </TR>
68       <TR WIDTH="100%">
69         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strstr">strstr</A>
70         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strstr.html">strstr</A>() function: search for a substring in a string.
71       </TR>
72       <TR WIDTH="100%">
73         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strerror">strerror</A>
74         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strerror.html">strerror</A>() function: return string describing error code.
75       </TR>
76       <TR WIDTH="100%">
77         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mktime">mktime</A>
78         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mktime.html">mktime</A>() function: convert broken-down time to linear time.
79       </TR>
80     </TABLE>
81     Most of these modules are not listed among dependencies below, for simplicity.
82     <H2><A HREF="#ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
83     <H3><A HREF="#ansic_enh_assert_diagnostics">Diagnostics &lt;assert.h&gt;</A></H3>
84     <TABLE>
85       <TR WIDTH="100%">
86         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=verify">verify</A>
87         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile-time assert-like macros.
88       </TR>
89     </TABLE>
90     <H3><A HREF="#ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
91     <TABLE>
92       <TR WIDTH="100%">
93         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=calloc">calloc</A>
94         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/calloc.html">calloc</A>() function that is glibc compatible.
95       </TR>
96       <TR WIDTH="100%">
97         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=eealloc">eealloc</A>
98         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with expensive empty allocations (glibc compatible).
99       </TR>
100       <TR WIDTH="100%">
101         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=free">free</A>
102         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Work around incompatibility on older systems where free (NULL) fails.
103       </TR>
104       <TR WIDTH="100%">
105         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=malloc">malloc</A>
106         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/malloc.html">malloc</A>() function that is glibc compatible.
107       </TR>
108       <TR WIDTH="100%">
109         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=realloc">realloc</A>
110         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/realloc.html">realloc</A>() function that is glibc compatible.
111       </TR>
112       <TR WIDTH="100%">
113         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pagealign_alloc">pagealign_alloc</A>
114         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation aligned on page boundaries.
115       </TR>
116     </TABLE>
117     <H3><A HREF="#ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
118     <TABLE>
119       <TR WIDTH="100%">
120         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fprintftime">fprintftime</A>
121         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">like nstrftime, but output the formatted date to a FILE* stream
122       </TR>
123       <TR WIDTH="100%">
124         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strftime">strftime</A>
125         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">nstrftime() function: convert date and time to string, with GNU extensions.
126       </TR>
127     </TABLE>
128     <H2><A HREF="#ansic_ext">Extra functions based on ANSI C 89</A></H2>
129     <H3><A HREF="#ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
130     <TABLE>
131       <TR WIDTH="100%">
132         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsize">xsize</A>
133         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Checked size_t computations.
134       </TR>
135       <TR WIDTH="100%">
136         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc">xalloc</A>
137         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with out-of-memory checking.  Also see xalloc-die.
138       </TR>
139       <TR WIDTH="100%">
140         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc-die">xalloc-die</A>
141         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Report a memory allocation failure and exit.
142       </TR>
143       <TR WIDTH="100%">
144         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca">alloca</A>
145         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack.
146       </TR>
147       <TR WIDTH="100%">
148         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca-opt">alloca-opt</A>
149         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack, as an optional optimization.
150 It allows using the alloca() macro if and only if the autoconf tests define
151 HAVE_ALLOCA.
152       </TR>
153       <TR WIDTH="100%">
154         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=allocsa">allocsa</A>
155         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation.
156       </TR>
157       <TR WIDTH="100%">
158         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xallocsa">xallocsa</A>
159         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation with out of memory checking.
160       </TR>
161     </TABLE>
162     <H3><A HREF="#ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
163     <TABLE>
164       <TR WIDTH="100%">
165         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gcd">gcd</A>
166         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Greatest common divisor.
167       </TR>
168       <TR WIDTH="100%">
169         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=minmax">minmax</A>
170         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">MIN, MAX macros.
171       </TR>
172     </TABLE>
173     <H3><A HREF="#ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
174     <TABLE>
175       <TR WIDTH="100%">
176         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=putenv">putenv</A>
177         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/putenv.html">putenv</A>() function: change or add an environment variable.
178       </TR>
179       <TR WIDTH="100%">
180         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=setenv">setenv</A>
181         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/setenv.html">setenv</A>() function: set an environment variable.
182 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
183       </TR>
184       <TR WIDTH="100%">
185         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsetenv">xsetenv</A>
186         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">xsetenv() function: set an environment variable, with out-of-memory checking.
187 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
188       </TR>
189     </TABLE>
190     <H3><A HREF="#ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
191     <TABLE>
192       <TR WIDTH="100%">
193         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-ctype">c-ctype</A>
194         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character handling in C locale.
195       </TR>
196     </TABLE>
197     <H3><A HREF="#ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
198     <TABLE>
199       <TR WIDTH="100%">
200         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=bcopy">bcopy</A>
201         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Old BSD <A HREF="http://www.opengroup.org/susv3xsh/bcopy.html">bcopy</A>() function: copy memory area.
202       </TR>
203       <TR WIDTH="100%">
204         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmem">memmem</A>
205         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memmem() function: locate first substring in a buffer.
206       </TR>
207       <TR WIDTH="100%">
208         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mempcpy">mempcpy</A>
209         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mempcpy() function: copy memory area, return point after last written byte.
210       </TR>
211       <TR WIDTH="100%">
212         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memrchr">memrchr</A>
213         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memrchr() function: scan memory for a byte, from the right end.
214       </TR>
215       <TR WIDTH="100%">
216         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpcpy">stpcpy</A>
217         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpcpy() function: copy a string, returning a pointer to its end.
218       </TR>
219       <TR WIDTH="100%">
220         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpncpy">stpncpy</A>
221         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpncpy() function: copy a size-bounded string, returning a pointer to its end.
222       </TR>
223       <TR WIDTH="100%">
224         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strcase">c-strcase</A>
225         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive string comparison functions in C locale.
226       </TR>
227       <TR WIDTH="100%">
228         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcase">strcase</A>
229         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive string comparison functions.
230       </TR>
231       <TR WIDTH="100%">
232         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strcasestr">c-strcasestr</A>
233         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive searching in a string in C locale.
234       </TR>
235       <TR WIDTH="100%">
236         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcasestr">strcasestr</A>
237         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strcasestr() function: case-insensitive search for a substring in a string.
238       </TR>
239       <TR WIDTH="100%">
240         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strchrnul">strchrnul</A>
241         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strchrnul(): Find the first occurrence of C in S or the final NUL byte.
242       </TR>
243       <TR WIDTH="100%">
244         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strdup">strdup</A>
245         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strdup.html">strdup</A>() function: duplicate a string.
246       </TR>
247       <TR WIDTH="100%">
248         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen">strnlen</A>
249         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strnlen() function: determine the length of a size-bounded string.
250       </TR>
251       <TR WIDTH="100%">
252         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen1">strnlen1</A>
253         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quick string length estimation.
254       </TR>
255       <TR WIDTH="100%">
256         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strndup">strndup</A>
257         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strndup() function: duplicate a size-bounded string.
258       </TR>
259       <TR WIDTH="100%">
260         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strsep">strsep</A>
261         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strsep() function: extract token from string.
262       </TR>
263       <TR WIDTH="100%">
264         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrndup">xstrndup</A>
265         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Duplicate a bounded initial segment of a string, with out-of-memory checking.
266       </TR>
267     </TABLE>
268     <H3><A HREF="#ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
269     <TABLE>
270       <TR WIDTH="100%">
271         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtod">c-strtod</A>
272         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to double in C locale.
273       </TR>
274       <TR WIDTH="100%">
275         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtold">c-strtold</A>
276         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to long double in C locale.
277       </TR>
278       <TR WIDTH="100%">
279         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtod">xstrtod</A>
280         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'double', with error checking.
281       </TR>
282       <TR WIDTH="100%">
283         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtol">xstrtol</A>
284         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'long' or 'unsigned long', with error checking.
285       </TR>
286       <TR WIDTH="100%">
287         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtold">xstrtold</A>
288         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'long double', with error checking.
289       </TR>
290     </TABLE>
291     <H3><A HREF="#ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
292     <TABLE>
293       <TR WIDTH="100%">
294         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdate">getdate</A>
295         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string to linear time.
296       </TR>
297       <TR WIDTH="100%">
298         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timegm">timegm</A>
299         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert calendar time to simple time, inverse of mktime.
300       </TR>
301       <TR WIDTH="100%">
302         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tzset">tzset</A>
303         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">tzset - initialize time conversion information
304       </TR>
305     </TABLE>
306     <H3><A HREF="#ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
307     <TABLE>
308       <TR WIDTH="100%">
309         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlocked-io">unlocked-io</A>
310         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable faster, non-thread-safe stdio functions if available.
311       </TR>
312       <TR WIDTH="100%">
313         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fwriteerror">fwriteerror</A>
314         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Detect write error on a stream.
315       </TR>
316       <TR WIDTH="100%">
317         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasnprintf">vasnprintf</A>
318         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation and bounded output size.
319       </TR>
320       <TR WIDTH="100%">
321         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasprintf">vasprintf</A>
322         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation.
323       </TR>
324       <TR WIDTH="100%">
325         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xvasprintf">xvasprintf</A>
326         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vasprintf and asprintf with out-of-memory checking.  Also see xalloc-die.
327       </TR>
328     </TABLE>
329     <H3><A HREF="#ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
330     <TABLE>
331       <TR WIDTH="100%">
332         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fatal-signal">fatal-signal</A>
333         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Emergency actions in case of a fatal signal.
334       </TR>
335       <TR WIDTH="100%">
336         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=raise">raise</A>
337         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Send a signal to the executing process.
338       </TR>
339     </TABLE>
340     <H3><A HREF="#ansic_ext_argv">Command-line arguments</A></H3>
341     <TABLE>
342       <TR WIDTH="100%">
343         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argmatch">argmatch</A>
344         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Search for an exact or abbreviated match of a string in an array of strings.
345       </TR>
346       <TR WIDTH="100%">
347         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc">version-etc</A>
348         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Print --version output.
349       </TR>
350       <TR WIDTH="100%">
351         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc-fsf">version-etc-fsf</A>
352         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copyright variable for FSF projects
353       </TR>
354       <TR WIDTH="100%">
355         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=long-options">long-options</A>
356         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Handle --help and --version options.
357       </TR>
358     </TABLE>
359     <H3><A HREF="#ansic_ext_container">Container data structures</A></H3>
360     <TABLE>
361       <TR WIDTH="100%">
362         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=list">list</A>
363         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Abstract sequential list data type.
364       </TR>
365       <TR WIDTH="100%">
366         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=array-list">array-list</A>
367         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by an array.
368       </TR>
369       <TR WIDTH="100%">
370         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=carray-list">carray-list</A>
371         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a circular array.
372       </TR>
373       <TR WIDTH="100%">
374         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linked-list">linked-list</A>
375         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a linked list.
376       </TR>
377       <TR WIDTH="100%">
378         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=avltree-list">avltree-list</A>
379         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a binary tree.
380       </TR>
381       <TR WIDTH="100%">
382         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rbtree-list">rbtree-list</A>
383         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a binary tree.
384       </TR>
385       <TR WIDTH="100%">
386         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linkedhash-list">linkedhash-list</A>
387         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a hash table with a linked list.
388       </TR>
389       <TR WIDTH="100%">
390         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=avltreehash-list">avltreehash-list</A>
391         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a hash table with a binary tree.
392       </TR>
393       <TR WIDTH="100%">
394         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rbtreehash-list">rbtreehash-list</A>
395         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Sequential list data type implemented by a hash table with a binary tree.
396       </TR>
397       <TR WIDTH="100%">
398         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=oset">oset</A>
399         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Abstract ordered set data type.
400       </TR>
401       <TR WIDTH="100%">
402         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=array-oset">array-oset</A>
403         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ordered set data type implemented by an array.
404       </TR>
405       <TR WIDTH="100%">
406         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=avltree-oset">avltree-oset</A>
407         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ordered set data type implemented by a binary tree.
408       </TR>
409       <TR WIDTH="100%">
410         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rbtree-oset">rbtree-oset</A>
411         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ordered set data type implemented by a binary tree.
412       </TR>
413     </TABLE>
414     <H3><A HREF="#ansic_ext_crypto">Cryptographic computations</A></H3>
415     <TABLE>
416       <TR WIDTH="100%">
417         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=md5">md5</A>
418         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute MD5 checksum.
419       </TR>
420       <TR WIDTH="100%">
421         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sha1">sha1</A>
422         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute SHA1 checksum.
423       </TR>
424     </TABLE>
425     <H3><A HREF="#ansic_ext_misc">Misc</A></H3>
426     <TABLE>
427       <TR WIDTH="100%">
428         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=base64">base64</A>
429         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Encode binary data using printable characters (base64).
430       </TR>
431       <TR WIDTH="100%">
432         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=check-version">check-version</A>
433         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Check version string compatibility.
434       </TR>
435       <TR WIDTH="100%">
436         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=diacrit">diacrit</A>
437         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Decomposition of composed ISO-8859-1 characters.
438       </TR>
439       <TR WIDTH="100%">
440         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getline">getline</A>
441         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
442       </TR>
443       <TR WIDTH="100%">
444         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdelim">getdelim</A>
445         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read character delimited data from a stream.
446       </TR>
447       <TR WIDTH="100%">
448         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getnline">getnline</A>
449         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, with bounded memory allocation.
450       </TR>
451       <TR WIDTH="100%">
452         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getndelim2">getndelim2</A>
453         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, stopping at one of 2 delimiters, with bounded
454 memory allocation.
455       </TR>
456       <TR WIDTH="100%">
457         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebuffer">linebuffer</A>
458         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
459       </TR>
460       <TR WIDTH="100%">
461         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=obstack">obstack</A>
462         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation, optimized for stack-like allocation patterns.
463       </TR>
464       <TR WIDTH="100%">
465         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash-pjw">hash-pjw</A>
466         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute a hash value for a NUL-terminated string.
467       </TR>
468       <TR WIDTH="100%">
469         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash">hash</A>
470         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parametrizable hash table.
471       </TR>
472       <TR WIDTH="100%">
473         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readline">readline</A>
474         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Simple implementation of readline.
475       </TR>
476       <TR WIDTH="100%">
477         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens">readtokens</A>
478         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read tokens from a stream.
479       </TR>
480       <TR WIDTH="100%">
481         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens0">readtokens0</A>
482         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read NUL-separated tokens from a stream.
483       </TR>
484       <TR WIDTH="100%">
485         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strverscmp">strverscmp</A>
486         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compare strings holding version numbers.
487       </TR>
488     </TABLE>
489     <H2><A HREF="#isoc_sup">Support for systems lacking ISO C 99</A></H2>
490     <H3><A HREF="#isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
491     <TABLE>
492       <TR WIDTH="100%">
493         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ullong_max">ullong_max</A>
494         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">The maximum unsigned long long value, as a preprocessor constant.
495       </TR>
496       <TR WIDTH="100%">
497         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=size_max">size_max</A>
498         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Provide SIZE_MAX.
499       </TR>
500     </TABLE>
501     <H3><A HREF="#isoc_sup_stdarg">Variable arguments &lt;stdarg.h&gt;</A></H3>
502     <TABLE>
503       <TR WIDTH="100%">
504         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdarg">stdarg</A>
505         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdarg.h&gt; that conforms to C99.
506       </TR>
507     </TABLE>
508     <H3><A HREF="#isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
509     <TABLE>
510       <TR WIDTH="100%">
511         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdbool">stdbool</A>
512         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdbool.h&gt; that nearly conforms to C99.
513 (Nearly: casts to bool may not work.)
514       </TR>
515     </TABLE>
516     <H3><A HREF="#isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
517     <TABLE>
518       <TR WIDTH="100%">
519         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdint">stdint</A>
520         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A &lt;stdint.h&gt; that nearly conforms to C99.
521 Assumes typical host with 8-bit bytes, two's complement
522 representation, and no padding or trap representations, with int
523 widths equal to 8, 16, 32, and 64 bits.  {uint,int}_fast{8,16,32,64}_t
524 may not correspond to the fastest types available on the system.
525 Macros are used instead of typedefs.
526       </TR>
527     </TABLE>
528     <H3><A HREF="#isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
529     <TABLE>
530       <TR WIDTH="100%">
531         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=snprintf">snprintf</A>
532         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/snprintf.html">snprintf</A>() function: print formatted output to a fixed length string
533       </TR>
534       <TR WIDTH="100%">
535         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vsnprintf">vsnprintf</A>
536         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/vsnprintf.html">vsnprintf</A>() function: print formatted output from an stdarg argument list
537 to a fixed length string
538       </TR>
539     </TABLE>
540     <H3><A HREF="#isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
541     <TABLE>
542       <TR WIDTH="100%">
543         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoll">strtoll</A>
544         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoll.html">strtoll</A>() function: convert string to 'long long'.
545       </TR>
546       <TR WIDTH="100%">
547         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoull">strtoull</A>
548         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoull.html">strtoull</A>() function: convert string to 'unsigned long long'.
549       </TR>
550     </TABLE>
551     <H3><A HREF="#isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
552     <TABLE>
553       <TR WIDTH="100%">
554         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoimax">strtoimax</A>
555         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoimax.html">strtoimax</A>() function: convert string to 'intmax_t'.
556       </TR>
557       <TR WIDTH="100%">
558         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoumax">strtoumax</A>
559         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoumax.html">strtoumax</A>() function: convert string to 'uintmax_t'.
560       </TR>
561     </TABLE>
562     <H3><A HREF="#isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
563     <TABLE>
564       <TR WIDTH="100%">
565         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mathl">mathl</A>
566         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">C99 functions for transcendental functions with long double arguments.
567       </TR>
568     </TABLE>
569     <H2><A HREF="#isoc_enh">Enhancements for ISO C 99 functions</A></H2>
570     <TABLE>
571     </TABLE>
572     <H2><A HREF="#isoc_ext">Extra functions based on ISO C 99</A></H2>
573     <H3><A HREF="#isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
574     <TABLE>
575       <TR WIDTH="100%">
576         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=intprops">intprops</A>
577         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Properties of integer types
578       </TR>
579       <TR WIDTH="100%">
580         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inttostr">inttostr</A>
581         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert integers to printable strings.
582       </TR>
583       <TR WIDTH="100%">
584         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoimax">xstrtoimax</A>
585         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'intmax_t', with error checking.
586       </TR>
587       <TR WIDTH="100%">
588         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoumax">xstrtoumax</A>
589         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'uintmax_t', with error checking.
590       </TR>
591     </TABLE>
592     <H3><A HREF="#isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
593     <TABLE>
594       <TR WIDTH="100%">
595         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbchar">mbchar</A>
596         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character data type.
597       </TR>
598       <TR WIDTH="100%">
599         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbiter">mbiter</A>
600         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Iterating through multibyte strings.
601       </TR>
602       <TR WIDTH="100%">
603         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbuiter">mbuiter</A>
604         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Iterating through multibyte strings.
605       </TR>
606       <TR WIDTH="100%">
607         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbfile">mbfile</A>
608         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character I/O.
609       </TR>
610     </TABLE>
611     <H2><A HREF="#posix_sup">Support for systems lacking POSIX:2001</A></H2>
612     <TABLE>
613       <TR WIDTH="100%">
614         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=chown">chown</A>
615         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/chown.html">chown</A>() function: change ownership of a file.
616       </TR>
617       <TR WIDTH="100%">
618         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dup2">dup2</A>
619         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/dup2.html">dup2</A>() function: duplicate an open file descriptor.
620       </TR>
621       <TR WIDTH="100%">
622         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ftruncate">ftruncate</A>
623         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/ftruncate.html">ftruncate</A>() function: truncate an open file to a specified length.
624       </TR>
625       <TR WIDTH="100%">
626         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getaddrinfo">getaddrinfo</A>
627         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getaddrinfo.html">getaddrinfo</A>() function: Get address information.
628       </TR>
629       <TR WIDTH="100%">
630         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getcwd">getcwd</A>
631         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory.
632       </TR>
633       <TR WIDTH="100%">
634         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getgroups">getgroups</A>
635         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getgroups.html">getgroups</A>() function: return the supplementary group IDs of the current process.
636       </TR>
637       <TR WIDTH="100%">
638         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gethostname">gethostname</A>
639         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gethostname.html">gethostname</A>() function: Return machine's hostname.
640       </TR>
641       <TR WIDTH="100%">
642         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getlogin_r">getlogin_r</A>
643         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getlogin_r.html">getlogin_r</A>() function: Get user name to a buffer allocated by the caller.
644       </TR>
645       <TR WIDTH="100%">
646         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getsubopt">getsubopt</A>
647         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getsubopt: Parse comma separate list into words.
648       </TR>
649       <TR WIDTH="100%">
650         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettimeofday">gettimeofday</A>
651         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gettimeofday.html">gettimeofday</A>() function: return current time.
652       </TR>
653       <TR WIDTH="100%">
654         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inet_ntop">inet_ntop</A>
655         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert internet address from internal to printable, presentable format.
656       </TR>
657       <TR WIDTH="100%">
658         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inet_pton">inet_pton</A>
659         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert internet address from presentation to internal, binary, format.
660       </TR>
661       <TR WIDTH="100%">
662         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir">mkdir</A>
663         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkdir.html">mkdir</A>() function: create a directory.
664       </TR>
665       <TR WIDTH="100%">
666         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkstemp">mkstemp</A>
667         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkstemp.html">mkstemp</A>() function: create a private temporary file.
668       </TR>
669       <TR WIDTH="100%">
670         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdtemp">mkdtemp</A>
671         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mkdtemp() function: create a private temporary directory.
672       </TR>
673       <TR WIDTH="100%">
674         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=poll">poll</A>
675         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/poll.html">poll</A>() function: wait for some event on a set of file descriptors.
676       </TR>
677       <TR WIDTH="100%">
678         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readlink">readlink</A>
679         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/readlink.html">readlink</A>() function: read the value of a symbolic link.
680       </TR>
681       <TR WIDTH="100%">
682         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lstat">lstat</A>
683         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lstat.html">lstat</A>() function: return information about a file or symbolic link.
684       </TR>
685       <TR WIDTH="100%">
686         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=time_r">time_r</A>
687         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Reentrant time functions like localtime_r.
688       </TR>
689       <TR WIDTH="100%">
690         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timespec">timespec</A>
691         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">struct timespec.
692       </TR>
693       <TR WIDTH="100%">
694         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=nanosleep">nanosleep</A>
695         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/nanosleep.html">nanosleep</A>() function: pause execution for a specified time.
696       </TR>
697       <TR WIDTH="100%">
698         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=regex">regex</A>
699         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Regular expression matching.
700       </TR>
701       <TR WIDTH="100%">
702         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rename">rename</A>
703         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rename.html">rename</A>() function: change the name or location of a file.
704       </TR>
705       <TR WIDTH="100%">
706         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rmdir">rmdir</A>
707         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rmdir.html">rmdir</A>() function: delete a directory.
708       </TR>
709       <TR WIDTH="100%">
710         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ssize_t">ssize_t</A>
711         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Define ssize_t if it does not already exist.
712       </TR>
713       <TR WIDTH="100%">
714         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtok_r">strtok_r</A>
715         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtok_r.html">strtok_r</A>() function: split string into tokens, thread safe.
716       </TR>
717       <TR WIDTH="100%">
718         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sys_stat">sys_stat</A>
719         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A &lt;sys/stat.h&gt; for systems with missing declarations.
720       </TR>
721       <TR WIDTH="100%">
722         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unistd">unistd</A>
723         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A &lt;unistd.h&gt; for systems lacking it.
724       </TR>
725       <TR WIDTH="100%">
726         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utime">utime</A>
727         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/utime.html">utime</A>() function: change access and/or modification times of a file.
728       </TR>
729       <TR WIDTH="100%">
730         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=wcwidth">wcwidth</A>
731         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of screen columns needed for a character.
732       </TR>
733     </TABLE>
734     <H2><A HREF="#posix_enh">Enhancements for POSIX:2001 functions</A></H2>
735     <TABLE>
736       <TR WIDTH="100%">
737         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirname">dirname</A>
738         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Extract specific portions of filenames.
739       </TR>
740       <TR WIDTH="100%">
741         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getopt">getopt</A>
742         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Process command line arguments.
743       </TR>
744       <TR WIDTH="100%">
745         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unistd-safer">unistd-safer</A>
746         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File descriptor functions that avoid clobbering STD{IN,OUT,ERR}_FILENO.
747       </TR>
748       <TR WIDTH="100%">
749         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch">fnmatch</A>
750         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">GNU <A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() implementation.
751       </TR>
752       <TR WIDTH="100%">
753         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-posix">fnmatch-posix</A>
754         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching.
755       </TR>
756       <TR WIDTH="100%">
757         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-gnu">fnmatch-gnu</A>
758         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching, with GNU extensions.
759       </TR>
760       <TR WIDTH="100%">
761         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=glob">glob</A>
762         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/glob.html">glob</A>() function: Search for files and directories with paths matching a
763 pattern, with GNU extensions.
764       </TR>
765       <TR WIDTH="100%">
766         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exclude">exclude</A>
767         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manage list of filenames or wildcard patterns for --exclude option processing.
768       </TR>
769     </TABLE>
770     <H2><A HREF="#posix_ext">Extra functions based on POSIX:2001</A></H2>
771     <H3><A HREF="#posix_ext_conv">Numeric conversion functions</A></H3>
772     <TABLE>
773       <TR WIDTH="100%">
774         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=human">human</A>
775         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a number (usually a file size) to a human readable string, possibly
776 with K/M/G suffix.
777       </TR>
778     </TABLE>
779     <H3><A HREF="#posix_ext_filesys">File system functions</A></H3>
780     <TABLE>
781       <TR WIDTH="100%">
782         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=acl">acl</A>
783         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Access control lists of files.  (Unportable.)
784       </TR>
785       <TR WIDTH="100%">
786         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=backupfile">backupfile</A>
787         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determination of the filename of a backup file, according to user environment
788 variables.
789       </TR>
790       <TR WIDTH="100%">
791         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canonicalize">canonicalize</A>
792         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the canonical absolute name of a given file.
793       </TR>
794       <TR WIDTH="100%">
795         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=clean-temp">clean-temp</A>
796         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Temporary directories and temporary files with automatic cleanup.
797       </TR>
798       <TR WIDTH="100%">
799         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=copy-file">copy-file</A>
800         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copying of files.
801       </TR>
802       <TR WIDTH="100%">
803         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cycle-check">cycle-check</A>
804         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">help detect directory cycles efficiently
805       </TR>
806       <TR WIDTH="100%">
807         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fsusage">fsusage</A>
808         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return file system space usage info.
809       </TR>
810       <TR WIDTH="100%">
811         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirfd">dirfd</A>
812         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Retrieving the file descriptor of an open directory stream.  (Unportable.)
813       </TR>
814       <TR WIDTH="100%">
815         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=euidaccess">euidaccess</A>
816         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">euidaccess() function: check effective user's permissions for a file.
817       </TR>
818       <TR WIDTH="100%">
819         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=file-type">file-type</A>
820         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string describing the type of a file.
821       </TR>
822       <TR WIDTH="100%">
823         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fileblocks">fileblocks</A>
824         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Estimate number of blocks of a file, if 'struct stat' doesn't have st_blocks.
825       </TR>
826       <TR WIDTH="100%">
827         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filemode">filemode</A>
828         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string (?rwxrwxrwx format) describing the type and permissions of a
829 file.
830       </TR>
831       <TR WIDTH="100%">
832         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filenamecat">filenamecat</A>
833         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Concatenate two arbitrary file names.
834       </TR>
835       <TR WIDTH="100%">
836         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts">fts</A>
837         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy.
838       </TR>
839       <TR WIDTH="100%">
840         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts-lgpl">fts-lgpl</A>
841         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy (LPGL'ed version).
842       </TR>
843       <TR WIDTH="100%">
844         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=isdir">isdir</A>
845         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether a directory exists.
846       </TR>
847       <TR WIDTH="100%">
848         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lchown">lchown</A>
849         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lchown.html">lchown</A>() function: change ownership of a file.
850       </TR>
851       <TR WIDTH="100%">
852         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkancesdirs">mkancesdirs</A>
853         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ensure the existence of the ancestor directories of a file.
854       </TR>
855       <TR WIDTH="100%">
856         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir-p">mkdir-p</A>
857         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ensure that a directory and its parents exist.
858       </TR>
859       <TR WIDTH="100%">
860         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=modechange">modechange</A>
861         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manipulation of mode changes specified by strings (e.g. as first argument of
862 chmod utility).
863       </TR>
864       <TR WIDTH="100%">
865         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mountlist">mountlist</A>
866         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return list of mounted file systems.
867       </TR>
868       <TR WIDTH="100%">
869         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathname">pathname</A>
870         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Construct a full pathname by concatenating a directory name, a relative
871 filename, and a suffix.
872       </TR>
873       <TR WIDTH="100%">
874         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathmax">pathmax</A>
875         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return maximum size of reasonable pathnames.  (Unportable: Hurd has no limit.)
876       </TR>
877       <TR WIDTH="100%">
878         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=same">same</A>
879         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether two file names refer to the same directory entry of the same
880 directory.
881       </TR>
882       <TR WIDTH="100%">
883         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=save-cwd">save-cwd</A>
884         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Save and restore the current working directory.
885       </TR>
886       <TR WIDTH="100%">
887         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=savedir">savedir</A>
888         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the entries of a directory (just the names) as an argz string.
889       </TR>
890       <TR WIDTH="100%">
891         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stat-time">stat-time</A>
892         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stat-related time functions
893       </TR>
894       <TR WIDTH="100%">
895         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tmpdir">tmpdir</A>
896         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine a temporary directory.
897       </TR>
898       <TR WIDTH="100%">
899         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlinkdir">unlinkdir</A>
900         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine (and maybe change) whether we can unlink directories.
901       </TR>
902       <TR WIDTH="100%">
903         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimecmp">utimecmp</A>
904         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">compare file time stamps
905       </TR>
906       <TR WIDTH="100%">
907         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimens">utimens</A>
908         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set file access and modification times.
909       </TR>
910       <TR WIDTH="100%">
911         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetcwd">xgetcwd</A>
912         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory, without size limitations.
913       </TR>
914       <TR WIDTH="100%">
915         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xreadlink">xreadlink</A>
916         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the contents of a symbolic link, without size limitations.
917       </TR>
918     </TABLE>
919     <H3><A HREF="#posix_ext_filedesc">File descriptor based Input/Output</A></H3>
920     <TABLE>
921       <TR WIDTH="100%">
922         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fcntl-safer">fcntl-safer</A>
923         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File descriptor functions that avoid clobbering STD{IN,OUT,ERR}_FILENO.
924       </TR>
925       <TR WIDTH="100%">
926         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-read">safe-read</A>
927         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that retries after interrupts.
928       </TR>
929       <TR WIDTH="100%">
930         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-write">safe-write</A>
931         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that retries after interrupts.
932       </TR>
933       <TR WIDTH="100%">
934         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-read">full-read</A>
935         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that reads all it is asked to read.
936       </TR>
937       <TR WIDTH="100%">
938         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-write">full-write</A>
939         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that writes all it is asked to write.
940       </TR>
941       <TR WIDTH="100%">
942         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=binary-io">binary-io</A>
943         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Binary mode I/O.
944       </TR>
945     </TABLE>
946     <H3><A HREF="#posix_ext_filestream">File stream based Input/Output</A></H3>
947     <TABLE>
948       <TR WIDTH="100%">
949         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=close-stream">close-stream</A>
950         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Close a stream, with nicer error checking than fclose's.
951       </TR>
952       <TR WIDTH="100%">
953         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=closeout">closeout</A>
954         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Close standard output, exiting with a diagnostic on error.
955       </TR>
956       <TR WIDTH="100%">
957         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fopen-safer">fopen-safer</A>
958         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">fopen function that avoids clobbering std{in,out,err}.
959       </TR>
960       <TR WIDTH="100%">
961         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fpending">fpending</A>
962         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of bytes waiting in the output buffer of a stream.
963       </TR>
964       <TR WIDTH="100%">
965         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass">getpass</A>
966         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password from /dev/tty.
967       </TR>
968       <TR WIDTH="100%">
969         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass-gnu">getpass-gnu</A>
970         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password of arbitrary length from /dev/tty.
971       </TR>
972       <TR WIDTH="100%">
973         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdlib-safer">stdlib-safer</A>
974         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File stream functions that avoid clobbering std{in,out,err}.
975       </TR>
976       <TR WIDTH="100%">
977         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tmpfile-safer">tmpfile-safer</A>
978         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">tmpfile function that avoids clobbering std{in,out,err}.
979       </TR>
980     </TABLE>
981     <H3><A HREF="#posix_ext_uidgid">Users and groups</A></H3>
982     <TABLE>
983       <TR WIDTH="100%">
984         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getugroups">getugroups</A>
985         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the group IDs of a user.
986       </TR>
987       <TR WIDTH="100%">
988         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=group-member">group-member</A>
989         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current process has the permissions of a given group ID.
990       </TR>
991       <TR WIDTH="100%">
992         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=idcache">idcache</A>
993         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Username &lt;--&gt; uid and groupname &lt;--&gt; gid conversions, with cache for speed.
994       </TR>
995       <TR WIDTH="100%">
996         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=userspec">userspec</A>
997         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parse a `user:group' specifier (e.g. the first argument of chown utility).
998       </TR>
999     </TABLE>
1000     <H3><A HREF="#posix_ext_datetime">Date and time</A></H3>
1001     <TABLE>
1002       <TR WIDTH="100%">
1003         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettime">gettime</A>
1004         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current time, with nanosecond resolution.
1005       </TR>
1006       <TR WIDTH="100%">
1007         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=settime">settime</A>
1008         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set the current time, with nanosecond resolution.
1009       </TR>
1010       <TR WIDTH="100%">
1011         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixtm">posixtm</A>
1012         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string (POSIX syntax) to linear time or broken-down time.
1013       </TR>
1014       <TR WIDTH="100%">
1015         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xnanosleep">xnanosleep</A>
1016         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">a more convenient interface to nanosleep
1017       </TR>
1018     </TABLE>
1019     <H3><A HREF="#posix_ext_net">Networking functions</A></H3>
1020     <TABLE>
1021       <TR WIDTH="100%">
1022         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgethostname">xgethostname</A>
1023         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's hostname, without size limitations.
1024       </TR>
1025       <TR WIDTH="100%">
1026         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canon-host">canon-host</A>
1027         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Canonicalize a host name: return a name other hosts can understand, i.e.
1028 usually the host name including FQDN.
1029       </TR>
1030     </TABLE>
1031     <H3><A HREF="#posix_ext_thread">Multithreading</A></H3>
1032     <TABLE>
1033       <TR WIDTH="100%">
1034         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lock">lock</A>
1035         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locking in multithreaded situations.
1036       </TR>
1037       <TR WIDTH="100%">
1038         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tls">tls</A>
1039         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Thread-local storage in multithreaded situations.
1040       </TR>
1041     </TABLE>
1042     <H3><A HREF="#posix_ext_i18n">Internationalization functions</A></H3>
1043     <TABLE>
1044       <TR WIDTH="100%">
1045         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext">gettext</A>
1046         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language.
1047       </TR>
1048       <TR WIDTH="100%">
1049         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext-h">gettext-h</A>
1050         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language if the gettext module is also used.
1051       </TR>
1052       <TR WIDTH="100%">
1053         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconv">iconv</A>
1054         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion.
1055       </TR>
1056       <TR WIDTH="100%">
1057         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconvme">iconvme</A>
1058         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion of strings made easy, uses iconv.
1059       </TR>
1060       <TR WIDTH="100%">
1061         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=localcharset">localcharset</A>
1062         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current locale's character encoding.
1063       </TR>
1064       <TR WIDTH="100%">
1065         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hard-locale">hard-locale</A>
1066         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current locale is different from the "C" locale.
1067       </TR>
1068       <TR WIDTH="100%">
1069         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbswidth">mbswidth</A>
1070         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of screen columns needed for a string.
1071       </TR>
1072       <TR WIDTH="100%">
1073         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcasecmp">memcasecmp</A>
1074         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive memory area comparison.
1075       </TR>
1076       <TR WIDTH="100%">
1077         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcoll">memcoll</A>
1078         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison.
1079       </TR>
1080       <TR WIDTH="100%">
1081         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xmemcoll">xmemcoll</A>
1082         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison, with error checking.
1083       </TR>
1084       <TR WIDTH="100%">
1085         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unicodeio">unicodeio</A>
1086         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Unicode character output to streams with locale dependent encoding.
1087       </TR>
1088       <TR WIDTH="100%">
1089         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rpmatch">rpmatch</A>
1090         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent classification of a response as matching "yes" or "no".
1091       </TR>
1092       <TR WIDTH="100%">
1093         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=yesno">yesno</A>
1094         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a response from the user, and its classification as matching "yes" or
1095 "no".
1096       </TR>
1097       <TR WIDTH="100%">
1098         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf8">ucs4-utf8</A>
1099         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-8.
1100       </TR>
1101       <TR WIDTH="100%">
1102         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf16">ucs4-utf16</A>
1103         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-16.
1104       </TR>
1105       <TR WIDTH="100%">
1106         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf8-ucs4">utf8-ucs4</A>
1107         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-8 to UCS-4.
1108       </TR>
1109       <TR WIDTH="100%">
1110         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf16-ucs4">utf16-ucs4</A>
1111         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-16 to UCS-4.
1112       </TR>
1113       <TR WIDTH="100%">
1114         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebreak">linebreak</A>
1115         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Line breaking (works also for East-Asian languages).
1116       </TR>
1117       <TR WIDTH="100%">
1118         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=bison-i18n">bison-i18n</A>
1119         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Support for internationalization of bison-generated parsers.
1120       </TR>
1121     </TABLE>
1122     <H3><A HREF="#posix_ext_exec">Executing programs</A></H3>
1123     <TABLE>
1124       <TR WIDTH="100%">
1125         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cloexec">cloexec</A>
1126         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set or clear the close-on-exec descriptor flag.
1127       </TR>
1128       <TR WIDTH="100%">
1129         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=findprog">findprog</A>
1130         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locating a program in PATH.
1131       </TR>
1132       <TR WIDTH="100%">
1133         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=wait-process">wait-process</A>
1134         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Waiting for a subprocess to finish.
1135       </TR>
1136       <TR WIDTH="100%">
1137         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=execute">execute</A>
1138         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of autonomous subprocesses.
1139       </TR>
1140       <TR WIDTH="100%">
1141         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pipe">pipe</A>
1142         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of subprocesses, communicating via pipes.
1143       </TR>
1144       <TR WIDTH="100%">
1145         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sh-quote">sh-quote</A>
1146         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Shell quoting.
1147       </TR>
1148     </TABLE>
1149     <H3><A HREF="#posix_ext_java">Java</A></H3>
1150     <TABLE>
1151       <TR WIDTH="100%">
1152         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=classpath">classpath</A>
1153         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Java CLASSPATH handling.
1154       </TR>
1155       <TR WIDTH="100%">
1156         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javacomp">javacomp</A>
1157         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a Java program.
1158       </TR>
1159       <TR WIDTH="100%">
1160         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javaexec">javaexec</A>
1161         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a Java program.
1162       </TR>
1163       <TR WIDTH="100%">
1164         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javaversion">javaversion</A>
1165         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the Java version supported by javaexec.
1166       </TR>
1167     </TABLE>
1168     <H3><A HREF="#posix_ext_csharp">C#</A></H3>
1169     <TABLE>
1170       <TR WIDTH="100%">
1171         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpcomp">csharpcomp</A>
1172         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a C# program.
1173       </TR>
1174       <TR WIDTH="100%">
1175         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpexec">csharpexec</A>
1176         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a C# program.
1177       </TR>
1178     </TABLE>
1179     <H3><A HREF="#posix_ext_misc">Misc</A></H3>
1180     <TABLE>
1181       <TR WIDTH="100%">
1182         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argp">argp</A>
1183         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Hierarchical processing of command line arguments.
1184       </TR>
1185       <TR WIDTH="100%">
1186         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argz">argz</A>
1187         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Argv style string arrays in a single null delimited char*.
1188       </TR>
1189       <TR WIDTH="100%">
1190         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=byteswap">byteswap</A>
1191         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Swap bytes of 16, 32 and 64 bit values.
1192       </TR>
1193       <TR WIDTH="100%">
1194         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exitfail">exitfail</A>
1195         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set exit status for fatal signal.
1196       </TR>
1197       <TR WIDTH="100%">
1198         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-stack">c-stack</A>
1199         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Stack overflow handling, causing program exit.
1200       </TR>
1201       <TR WIDTH="100%">
1202         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=error">error</A>
1203         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">error() and error_at_line() functions: Error reporting.
1204       </TR>
1205       <TR WIDTH="100%">
1206         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=extensions">extensions</A>
1207         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable extensions in standard headers
1208       </TR>
1209       <TR WIDTH="100%">
1210         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdomainname">getdomainname</A>
1211         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getdomainname() function: Return machine's NIS domain name.
1212       </TR>
1213       <TR WIDTH="100%">
1214         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetdomainname">xgetdomainname</A>
1215         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's domainname, without size limitations.
1216       </TR>
1217       <TR WIDTH="100%">
1218         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getloadavg">getloadavg</A>
1219         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current system load averages.
1220       </TR>
1221       <TR WIDTH="100%">
1222         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpagesize">getpagesize</A>
1223         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpagesize() function: Return memory page size.
1224       </TR>
1225       <TR WIDTH="100%">
1226         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getusershell">getusershell</A>
1227         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return names of valid user shells.
1228       </TR>
1229       <TR WIDTH="100%">
1230         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=physmem">physmem</A>
1231         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return amount of total/available physical memory.
1232       </TR>
1233       <TR WIDTH="100%">
1234         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixver">posixver</A>
1235         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine desired POSIX specification version, according to user's environment
1236 variables.
1237       </TR>
1238       <TR WIDTH="100%">
1239         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=progname">progname</A>
1240         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Program name management.
1241       </TR>
1242       <TR WIDTH="100%">
1243         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quotearg">quotearg</A>
1244         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1245       </TR>
1246       <TR WIDTH="100%">
1247         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quote">quote</A>
1248         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1249       </TR>
1250       <TR WIDTH="100%">
1251         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readutmp">readutmp</A>
1252         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read entire utmp file into memory.
1253       </TR>
1254       <TR WIDTH="100%">
1255         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sig2str">sig2str</A>
1256         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert between signal names and signal numbers.
1257       </TR>
1258       <TR WIDTH="100%">
1259         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sysexits">sysexits</A>
1260         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Exit status codes for some BSD system programs.
1261       </TR>
1262       <TR WIDTH="100%">
1263         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=verror">verror</A>
1264         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">verror() and verror_at_line() functions: Error reporting with va_list.
1265       </TR>
1266       <TR WIDTH="100%">
1267         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=visibility">visibility</A>
1268         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Control of symbols exported by shared libraries.
1269       </TR>
1270     </TABLE>
1271     <H2><A HREF="#build_lib">Support for building libraries and executables</A></H2>
1272     <TABLE>
1273       <TR WIDTH="100%">
1274         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ldd">ldd</A>
1275         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Command to determine the dynamically linked dependencies of a program.
1276       </TR>
1277       <TR WIDTH="100%">
1278         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lib-ignore">lib-ignore</A>
1279         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">If possible, ignore libraries that are not depended on.
1280       </TR>
1281     </TABLE>
1282     <H2><A HREF="#build_doc">Support for building documentation</A></H2>
1283     <TABLE>
1284       <TR WIDTH="100%">
1285         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fdl">fdl</A>
1286         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Provide the Free Documentation License.
1287       </TR>
1288       <TR WIDTH="100%">
1289         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gendocs">gendocs</A>
1290         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Generate manuals in several formats from Texinfo sources,
1291       </TR>
1292     </TABLE>
1293     <H2><A NAME="ansic_sup">Support for systems lacking ANSI C 89</A></H2>
1294     <TABLE>
1295       <TR>
1296         <TH ALIGN=LEFT>modules/
1297         <TH ALIGN=LEFT>lib/
1298         <TH ALIGN=LEFT>lib/
1299         <TH ALIGN=LEFT>m4/
1300         <TH ALIGN=LEFT>&nbsp;
1301       </TR>
1302       <TR>
1303         <TH ALIGN=LEFT>Module
1304         <TH ALIGN=LEFT>Header
1305         <TH ALIGN=LEFT>Implementation
1306         <TH ALIGN=LEFT>Autoconf macro
1307         <TH ALIGN=LEFT>Depends on
1308       </TR>
1309       <TR>
1310         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=assert"></A><A HREF="modules/assert">assert</A>
1311         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/assert.h.html">assert.h</A>&gt;
1312         <TD ALIGN=LEFT VALIGN=TOP>---
1313         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/assert.m4">assert.m4</A><BR>gl_ASSERT
1314         <TD ALIGN=LEFT VALIGN=TOP>---
1315       </TR>
1316       <TR>
1317         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dummy"></A><A HREF="modules/dummy">dummy</A>
1318         <TD ALIGN=LEFT VALIGN=TOP>---
1319         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dummy.c">dummy.c</A>
1320         <TD ALIGN=LEFT VALIGN=TOP>---
1321         <TD ALIGN=LEFT VALIGN=TOP>---
1322       </TR>
1323       <TR>
1324         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exit"></A><A HREF="modules/exit">exit</A>
1325         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exit.h">exit.h</A>"
1326         <TD ALIGN=LEFT VALIGN=TOP>---
1327         <TD ALIGN=LEFT VALIGN=TOP>---
1328         <TD ALIGN=LEFT VALIGN=TOP>---
1329       </TR>
1330       <TR>
1331         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=atexit"></A><A HREF="modules/atexit">atexit</A>
1332         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1333         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/atexit.c">atexit.c</A>
1334         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/atexit.m4">atexit.m4</A><BR>gl_FUNC_ATEXIT
1335         <TD ALIGN=LEFT VALIGN=TOP>---
1336       </TR>
1337       <TR>
1338         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtod"></A><A HREF="modules/strtod">strtod</A>
1339         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1340         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtod.c">strtod.c</A>
1341         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtod.m4">strtod.m4</A><BR>gl_FUNC_STRTOD
1342         <TD ALIGN=LEFT VALIGN=TOP>---
1343       </TR>
1344       <TR>
1345         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtol"></A><A HREF="modules/strtol">strtol</A>
1346         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1347         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtol.c">strtol.c</A>
1348         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtol.m4">strtol.m4</A><BR>gl_FUNC_STRTOL
1349         <TD ALIGN=LEFT VALIGN=TOP>---
1350       </TR>
1351       <TR>
1352         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoul"></A><A HREF="modules/strtoul">strtoul</A>
1353         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1354         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoul.c">strtoul.c</A>
1355         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtoul.m4">strtoul.m4</A><BR>gl_FUNC_STRTOUL
1356         <TD ALIGN=LEFT VALIGN=TOP>strtol
1357       </TR>
1358       <TR>
1359         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memchr"></A><A HREF="modules/memchr">memchr</A>
1360         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1361         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memchr.c">memchr.c</A>
1362         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memchr.m4">memchr.m4</A><BR>gl_FUNC_MEMCHR
1363         <TD ALIGN=LEFT VALIGN=TOP>---
1364       </TR>
1365       <TR>
1366         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcmp"></A><A HREF="modules/memcmp">memcmp</A>
1367         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1368         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcmp.c">memcmp.c</A>
1369         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcmp.m4">memcmp.m4</A><BR>gl_FUNC_MEMCMP
1370         <TD ALIGN=LEFT VALIGN=TOP>---
1371       </TR>
1372       <TR>
1373         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcpy"></A><A HREF="modules/memcpy">memcpy</A>
1374         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1375         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcpy.c">memcpy.c</A>
1376         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcpy.m4">memcpy.m4</A><BR>gl_FUNC_MEMCPY
1377         <TD ALIGN=LEFT VALIGN=TOP>---
1378       </TR>
1379       <TR>
1380         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmove"></A><A HREF="modules/memmove">memmove</A>
1381         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1382         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmove.c">memmove.c</A>
1383         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmove.m4">memmove.m4</A><BR>gl_FUNC_MEMMOVE
1384         <TD ALIGN=LEFT VALIGN=TOP>---
1385       </TR>
1386       <TR>
1387         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memset"></A><A HREF="modules/memset">memset</A>
1388         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1389         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memset.c">memset.c</A>
1390         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memset.m4">memset.m4</A><BR>gl_FUNC_MEMSET
1391         <TD ALIGN=LEFT VALIGN=TOP>---
1392       </TR>
1393       <TR>
1394         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcspn"></A><A HREF="modules/strcspn">strcspn</A>
1395         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1396         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcspn.c">strcspn.c</A>
1397         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcspn.m4">strcspn.m4</A><BR>gl_FUNC_STRCSPN
1398         <TD ALIGN=LEFT VALIGN=TOP>---
1399       </TR>
1400       <TR>
1401         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strpbrk"></A><A HREF="modules/strpbrk">strpbrk</A>
1402         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strpbrk.h">strpbrk.h</A>"
1403         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strpbrk.c">strpbrk.c</A>
1404         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strpbrk.m4">strpbrk.m4</A><BR>gl_FUNC_STRPBRK
1405         <TD ALIGN=LEFT VALIGN=TOP>---
1406       </TR>
1407       <TR>
1408         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strstr"></A><A HREF="modules/strstr">strstr</A>
1409         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strstr.h">strstr.h</A>"
1410         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strstr.c">strstr.c</A>
1411         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strstr.m4">strstr.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_FUNC_STRSTR
1412         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1413       </TR>
1414       <TR>
1415         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strerror"></A><A HREF="modules/strerror">strerror</A>
1416         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1417         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strerror.c">strerror.c</A>
1418         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strerror.m4">strerror.m4</A><BR>gl_FUNC_STRERROR
1419         <TD ALIGN=LEFT VALIGN=TOP>---
1420       </TR>
1421       <TR>
1422         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mktime"></A><A HREF="modules/mktime">mktime</A>
1423         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1424         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mktime.c">mktime.c</A>
1425         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mktime.m4">mktime.m4</A><BR>gl_FUNC_MKTIME
1426         <TD ALIGN=LEFT VALIGN=TOP>time_r
1427       </TR>
1428     </TABLE>
1429     Most of these modules are not listed among dependencies below, for simplicity.
1430     <H2><A NAME="ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
1431     <H3><A NAME="ansic_enh_assert_diagnostics">Diagnostics &lt;assert.h&gt;</A></H3>
1432     <TABLE>
1433       <TR>
1434         <TH ALIGN=LEFT>modules/
1435         <TH ALIGN=LEFT>lib/
1436         <TH ALIGN=LEFT>lib/
1437         <TH ALIGN=LEFT>m4/
1438         <TH ALIGN=LEFT>&nbsp;
1439       </TR>
1440       <TR>
1441         <TH ALIGN=LEFT>Module
1442         <TH ALIGN=LEFT>Header
1443         <TH ALIGN=LEFT>Implementation
1444         <TH ALIGN=LEFT>Autoconf macro
1445         <TH ALIGN=LEFT>Depends on
1446       </TR>
1447       <TR>
1448         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=verify"></A><A HREF="modules/verify">verify</A>
1449         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/verify.h">verify.h</A>"
1450         <TD ALIGN=LEFT VALIGN=TOP>---
1451         <TD ALIGN=LEFT VALIGN=TOP>---
1452         <TD ALIGN=LEFT VALIGN=TOP>---
1453       </TR>
1454     </TABLE>
1455     <H3><A NAME="ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1456     <TABLE>
1457       <TR>
1458         <TH ALIGN=LEFT>modules/
1459         <TH ALIGN=LEFT>lib/
1460         <TH ALIGN=LEFT>lib/
1461         <TH ALIGN=LEFT>m4/
1462         <TH ALIGN=LEFT>&nbsp;
1463       </TR>
1464       <TR>
1465         <TH ALIGN=LEFT>Module
1466         <TH ALIGN=LEFT>Header
1467         <TH ALIGN=LEFT>Implementation
1468         <TH ALIGN=LEFT>Autoconf macro
1469         <TH ALIGN=LEFT>Depends on
1470       </TR>
1471       <TR>
1472         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=calloc"></A><A HREF="modules/calloc">calloc</A>
1473         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1474         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/calloc.c">calloc.c</A>
1475         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/calloc.m4">calloc.m4</A><BR>AC_FUNC_CALLOC
1476         <TD ALIGN=LEFT VALIGN=TOP>---
1477       </TR>
1478       <TR>
1479         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=eealloc"></A><A HREF="modules/eealloc">eealloc</A>
1480         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;eealloc.h&gt;
1481         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/eealloc.h">eealloc.h</A>
1482         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/eealloc.m4">eealloc.m4</A><BR>gl_EEALLOC
1483         <TD ALIGN=LEFT VALIGN=TOP>---
1484       </TR>
1485       <TR>
1486         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=free"></A><A HREF="modules/free">free</A>
1487         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1488         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/free.c">free.c</A>
1489         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/free.m4">free.m4</A><BR>gl_FUNC_FREE
1490         <TD ALIGN=LEFT VALIGN=TOP>---
1491       </TR>
1492       <TR>
1493         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=malloc"></A><A HREF="modules/malloc">malloc</A>
1494         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1495         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/malloc.c">malloc.c</A>
1496         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_MALLOC
1497         <TD ALIGN=LEFT VALIGN=TOP>---
1498       </TR>
1499       <TR>
1500         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=realloc"></A><A HREF="modules/realloc">realloc</A>
1501         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1502         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/realloc.c">realloc.c</A>
1503         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_REALLOC
1504         <TD ALIGN=LEFT VALIGN=TOP>---
1505       </TR>
1506       <TR>
1507         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pagealign_alloc"></A><A HREF="modules/pagealign_alloc">pagealign_alloc</A>
1508         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pagealign_alloc.h">pagealign_alloc.h</A>"
1509         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pagealign_alloc.c">pagealign_alloc.c</A>
1510         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mmap-anon.m4">mmap-anon.m4</A><BR><A HREF="m4/pagealign_alloc.m4">pagealign_alloc.m4</A><BR>gl_PAGEALIGN_ALLOC
1511         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>getpagesize<BR>gettext-h<BR>xalloc<BR>unistd
1512       </TR>
1513     </TABLE>
1514     <H3><A NAME="ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1515     <TABLE>
1516       <TR>
1517         <TH ALIGN=LEFT>modules/
1518         <TH ALIGN=LEFT>lib/
1519         <TH ALIGN=LEFT>lib/
1520         <TH ALIGN=LEFT>m4/
1521         <TH ALIGN=LEFT>&nbsp;
1522       </TR>
1523       <TR>
1524         <TH ALIGN=LEFT>Module
1525         <TH ALIGN=LEFT>Header
1526         <TH ALIGN=LEFT>Implementation
1527         <TH ALIGN=LEFT>Autoconf macro
1528         <TH ALIGN=LEFT>Depends on
1529       </TR>
1530       <TR>
1531         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fprintftime"></A><A HREF="modules/fprintftime">fprintftime</A>
1532         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fprintftime.h">fprintftime.h</A>"
1533         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fprintftime.c">fprintftime.c</A>
1534         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fprintftime.m4">fprintftime.m4</A><BR>gl_FPRINTFTIME
1535         <TD ALIGN=LEFT VALIGN=TOP>strftime
1536       </TR>
1537       <TR>
1538         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strftime"></A><A HREF="modules/strftime">strftime</A>
1539         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strftime.h">strftime.h</A>"
1540         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strftime.c">strftime.c</A>
1541         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/strftime.m4">strftime.m4</A><BR>gl_FUNC_GNU_STRFTIME
1542         <TD ALIGN=LEFT VALIGN=TOP>time_r<BR>stdbool
1543       </TR>
1544     </TABLE>
1545     <H2><A NAME="ansic_ext">Extra functions based on ANSI C 89</A></H2>
1546     <H3><A NAME="ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1547     <TABLE>
1548       <TR>
1549         <TH ALIGN=LEFT>modules/
1550         <TH ALIGN=LEFT>lib/
1551         <TH ALIGN=LEFT>lib/
1552         <TH ALIGN=LEFT>m4/
1553         <TH ALIGN=LEFT>&nbsp;
1554       </TR>
1555       <TR>
1556         <TH ALIGN=LEFT>Module
1557         <TH ALIGN=LEFT>Header
1558         <TH ALIGN=LEFT>Implementation
1559         <TH ALIGN=LEFT>Autoconf macro
1560         <TH ALIGN=LEFT>Depends on
1561       </TR>
1562       <TR>
1563         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsize"></A><A HREF="modules/xsize">xsize</A>
1564         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsize.h">xsize.h</A>"
1565         <TD ALIGN=LEFT VALIGN=TOP>---
1566         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xsize.m4">xsize.m4</A><BR>gl_XSIZE
1567         <TD ALIGN=LEFT VALIGN=TOP>size_max
1568       </TR>
1569       <TR>
1570         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc"></A><A HREF="modules/xalloc">xalloc</A>
1571         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1572         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmalloc.c">xmalloc.c</A>
1573         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xalloc.m4">xalloc.m4</A><BR>gl_XALLOC
1574         <TD ALIGN=LEFT VALIGN=TOP>xalloc-die
1575       </TR>
1576       <TR>
1577         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc-die"></A><A HREF="modules/xalloc-die">xalloc-die</A>
1578         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1579         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xalloc-die.c">xalloc-die.c</A>
1580         <TD ALIGN=LEFT VALIGN=TOP>---
1581         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>gettext-h<BR>exitfail
1582       </TR>
1583       <TR>
1584         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca"></A><A HREF="modules/alloca">alloca</A>
1585         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1586         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca.c">alloca.c</A>
1587         <TD ALIGN=LEFT VALIGN=TOP>---
1588         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1589       </TR>
1590       <TR>
1591         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca-opt"></A><A HREF="modules/alloca-opt">alloca-opt</A>
1592         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1593         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca_.h">alloca_.h</A>
1594         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/alloca.m4">alloca.m4</A><BR>gl_FUNC_ALLOCA
1595         <TD ALIGN=LEFT VALIGN=TOP>---
1596       </TR>
1597       <TR>
1598         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=allocsa"></A><A HREF="modules/allocsa">allocsa</A>
1599         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/allocsa.h">allocsa.h</A>"
1600         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/allocsa.c">allocsa.c</A><BR><A HREF="lib/allocsa.valgrind">allocsa.valgrind</A>
1601         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/allocsa.m4">allocsa.m4</A><BR><A HREF="m4/eealloc.m4">eealloc.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR>gl_ALLOCSA
1602         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1603       </TR>
1604       <TR>
1605         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xallocsa"></A><A HREF="modules/xallocsa">xallocsa</A>
1606         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xallocsa.h">xallocsa.h</A>"
1607         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xallocsa.c">xallocsa.c</A>
1608         <TD ALIGN=LEFT VALIGN=TOP>---
1609         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>xalloc
1610       </TR>
1611     </TABLE>
1612     <H3><A NAME="ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
1613     <TABLE>
1614       <TR>
1615         <TH ALIGN=LEFT>modules/
1616         <TH ALIGN=LEFT>lib/
1617         <TH ALIGN=LEFT>lib/
1618         <TH ALIGN=LEFT>m4/
1619         <TH ALIGN=LEFT>&nbsp;
1620       </TR>
1621       <TR>
1622         <TH ALIGN=LEFT>Module
1623         <TH ALIGN=LEFT>Header
1624         <TH ALIGN=LEFT>Implementation
1625         <TH ALIGN=LEFT>Autoconf macro
1626         <TH ALIGN=LEFT>Depends on
1627       </TR>
1628       <TR>
1629         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gcd"></A><A HREF="modules/gcd">gcd</A>
1630         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gcd.h">gcd.h</A>"
1631         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gcd.c">gcd.c</A>
1632         <TD ALIGN=LEFT VALIGN=TOP>---
1633         <TD ALIGN=LEFT VALIGN=TOP>---
1634       </TR>
1635       <TR>
1636         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=minmax"></A><A HREF="modules/minmax">minmax</A>
1637         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/minmax.h">minmax.h</A>"
1638         <TD ALIGN=LEFT VALIGN=TOP>---
1639         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/minmax.m4">minmax.m4</A><BR>gl_MINMAX
1640         <TD ALIGN=LEFT VALIGN=TOP>---
1641       </TR>
1642     </TABLE>
1643     <H3><A NAME="ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
1644     <TABLE>
1645       <TR>
1646         <TH ALIGN=LEFT>modules/
1647         <TH ALIGN=LEFT>lib/
1648         <TH ALIGN=LEFT>lib/
1649         <TH ALIGN=LEFT>m4/
1650         <TH ALIGN=LEFT>&nbsp;
1651       </TR>
1652       <TR>
1653         <TH ALIGN=LEFT>Module
1654         <TH ALIGN=LEFT>Header
1655         <TH ALIGN=LEFT>Implementation
1656         <TH ALIGN=LEFT>Autoconf macro
1657         <TH ALIGN=LEFT>Depends on
1658       </TR>
1659       <TR>
1660         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=putenv"></A><A HREF="modules/putenv">putenv</A>
1661         <TD ALIGN=LEFT VALIGN=TOP>---
1662         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/putenv.c">putenv.c</A>
1663         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/putenv.m4">putenv.m4</A><BR>gl_FUNC_PUTENV
1664         <TD ALIGN=LEFT VALIGN=TOP>---
1665       </TR>
1666       <TR>
1667         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=setenv"></A><A HREF="modules/setenv">setenv</A>
1668         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/setenv.h">setenv.h</A>"
1669         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/setenv.c">setenv.c</A><BR><A HREF="lib/unsetenv.c">unsetenv.c</A>
1670         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/setenv.m4">setenv.m4</A><BR>gt_FUNC_SETENV
1671         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>alloca-opt<BR>unistd
1672       </TR>
1673       <TR>
1674         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsetenv"></A><A HREF="modules/xsetenv">xsetenv</A>
1675         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsetenv.h">xsetenv.h</A>"
1676         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xsetenv.c">xsetenv.c</A>
1677         <TD ALIGN=LEFT VALIGN=TOP>---
1678         <TD ALIGN=LEFT VALIGN=TOP>setenv<BR>error<BR>exit<BR>gettext-h
1679       </TR>
1680     </TABLE>
1681     <H3><A NAME="ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
1682     <TABLE>
1683       <TR>
1684         <TH ALIGN=LEFT>modules/
1685         <TH ALIGN=LEFT>lib/
1686         <TH ALIGN=LEFT>lib/
1687         <TH ALIGN=LEFT>m4/
1688         <TH ALIGN=LEFT>&nbsp;
1689       </TR>
1690       <TR>
1691         <TH ALIGN=LEFT>Module
1692         <TH ALIGN=LEFT>Header
1693         <TH ALIGN=LEFT>Implementation
1694         <TH ALIGN=LEFT>Autoconf macro
1695         <TH ALIGN=LEFT>Depends on
1696       </TR>
1697       <TR>
1698         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-ctype"></A><A HREF="modules/c-ctype">c-ctype</A>
1699         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-ctype.h">c-ctype.h</A>"
1700         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-ctype.c">c-ctype.c</A>
1701         <TD ALIGN=LEFT VALIGN=TOP>---
1702         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1703       </TR>
1704     </TABLE>
1705     <H3><A NAME="ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
1706     <TABLE>
1707       <TR>
1708         <TH ALIGN=LEFT>modules/
1709         <TH ALIGN=LEFT>lib/
1710         <TH ALIGN=LEFT>lib/
1711         <TH ALIGN=LEFT>m4/
1712         <TH ALIGN=LEFT>&nbsp;
1713       </TR>
1714       <TR>
1715         <TH ALIGN=LEFT>Module
1716         <TH ALIGN=LEFT>Header
1717         <TH ALIGN=LEFT>Implementation
1718         <TH ALIGN=LEFT>Autoconf macro
1719         <TH ALIGN=LEFT>Depends on
1720       </TR>
1721       <TR>
1722         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=bcopy"></A><A HREF="modules/bcopy">bcopy</A>
1723         <TD ALIGN=LEFT VALIGN=TOP>---
1724         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/bcopy.c">bcopy.c</A>
1725         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(bcopy)
1726         <TD ALIGN=LEFT VALIGN=TOP>---
1727       </TR>
1728       <TR>
1729         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmem"></A><A HREF="modules/memmem">memmem</A>
1730         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memmem.h">memmem.h</A>"
1731         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmem.c">memmem.c</A>
1732         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmem.m4">memmem.m4</A><BR>gl_FUNC_MEMMEM
1733         <TD ALIGN=LEFT VALIGN=TOP>---
1734       </TR>
1735       <TR>
1736         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mempcpy"></A><A HREF="modules/mempcpy">mempcpy</A>
1737         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mempcpy.h">mempcpy.h</A>"
1738         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mempcpy.c">mempcpy.c</A>
1739         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mempcpy.m4">mempcpy.m4</A><BR>gl_FUNC_MEMPCPY
1740         <TD ALIGN=LEFT VALIGN=TOP>---
1741       </TR>
1742       <TR>
1743         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memrchr"></A><A HREF="modules/memrchr">memrchr</A>
1744         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memrchr.h">memrchr.h</A>"
1745         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memrchr.c">memrchr.c</A>
1746         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memrchr.m4">memrchr.m4</A><BR>gl_FUNC_MEMRCHR
1747         <TD ALIGN=LEFT VALIGN=TOP>---
1748       </TR>
1749       <TR>
1750         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpcpy"></A><A HREF="modules/stpcpy">stpcpy</A>
1751         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpcpy.h">stpcpy.h</A>"
1752         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpcpy.c">stpcpy.c</A>
1753         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpcpy.m4">stpcpy.m4</A><BR>gl_FUNC_STPCPY
1754         <TD ALIGN=LEFT VALIGN=TOP>---
1755       </TR>
1756       <TR>
1757         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpncpy"></A><A HREF="modules/stpncpy">stpncpy</A>
1758         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpncpy.h">stpncpy.h</A>"
1759         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpncpy.c">stpncpy.c</A>
1760         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpncpy.m4">stpncpy.m4</A><BR>gl_FUNC_STPNCPY
1761         <TD ALIGN=LEFT VALIGN=TOP>---
1762       </TR>
1763       <TR>
1764         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strcase"></A><A HREF="modules/c-strcase">c-strcase</A>
1765         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strcase.h">c-strcase.h</A>"
1766         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strcasecmp.c">c-strcasecmp.c</A><BR><A HREF="lib/c-strncasecmp.c">c-strncasecmp.c</A>
1767         <TD ALIGN=LEFT VALIGN=TOP>---
1768         <TD ALIGN=LEFT VALIGN=TOP>c-ctype
1769       </TR>
1770       <TR>
1771         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcase"></A><A HREF="modules/strcase">strcase</A>
1772         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strcase.h">strcase.h</A>"
1773         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcasecmp.c">strcasecmp.c</A><BR><A HREF="lib/strncasecmp.c">strncasecmp.c</A>
1774         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcase.m4">strcase.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_STRCASE
1775         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1776       </TR>
1777       <TR>
1778         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strcasestr"></A><A HREF="modules/c-strcasestr">c-strcasestr</A>
1779         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strcasestr.h">c-strcasestr.h</A>"
1780         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strcasestr.c">c-strcasestr.c</A>
1781         <TD ALIGN=LEFT VALIGN=TOP>---
1782         <TD ALIGN=LEFT VALIGN=TOP>c-ctype
1783       </TR>
1784       <TR>
1785         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcasestr"></A><A HREF="modules/strcasestr">strcasestr</A>
1786         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strcasestr.h">strcasestr.h</A>"
1787         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcasestr.c">strcasestr.c</A>
1788         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcasestr.m4">strcasestr.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_FUNC_STRCASESTR
1789         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1790       </TR>
1791       <TR>
1792         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strchrnul"></A><A HREF="modules/strchrnul">strchrnul</A>
1793         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strchrnul.h">strchrnul.h</A>"
1794         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strchrnul.c">strchrnul.c</A>
1795         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strchrnul.m4">strchrnul.m4</A><BR>gl_FUNC_STRCHRNUL
1796         <TD ALIGN=LEFT VALIGN=TOP>---
1797       </TR>
1798       <TR>
1799         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strdup"></A><A HREF="modules/strdup">strdup</A>
1800         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strdup.h">strdup.h</A>"
1801         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strdup.c">strdup.c</A>
1802         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strdup.m4">strdup.m4</A><BR>gl_FUNC_STRDUP
1803         <TD ALIGN=LEFT VALIGN=TOP>---
1804       </TR>
1805       <TR>
1806         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen"></A><A HREF="modules/strnlen">strnlen</A>
1807         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strnlen.h">strnlen.h</A>"
1808         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen.c">strnlen.c</A>
1809         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strnlen.m4">strnlen.m4</A><BR>gl_FUNC_STRNLEN
1810         <TD ALIGN=LEFT VALIGN=TOP>---
1811       </TR>
1812       <TR>
1813         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen1"></A><A HREF="modules/strnlen1">strnlen1</A>
1814         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strnlen1.h">strnlen1.h</A>"
1815         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen1.c">strnlen1.c</A>
1816         <TD ALIGN=LEFT VALIGN=TOP>---
1817         <TD ALIGN=LEFT VALIGN=TOP>memchr
1818       </TR>
1819       <TR>
1820         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strndup"></A><A HREF="modules/strndup">strndup</A>
1821         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strndup.h">strndup.h</A>"
1822         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strndup.c">strndup.c</A>
1823         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strndup.m4">strndup.m4</A><BR>gl_FUNC_STRNDUP
1824         <TD ALIGN=LEFT VALIGN=TOP>strnlen
1825       </TR>
1826       <TR>
1827         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strsep"></A><A HREF="modules/strsep">strsep</A>
1828         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strsep.h">strsep.h</A>"
1829         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strsep.c">strsep.c</A>
1830         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strsep.m4">strsep.m4</A><BR>gl_FUNC_STRSEP
1831         <TD ALIGN=LEFT VALIGN=TOP>strpbrk
1832       </TR>
1833       <TR>
1834         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrndup"></A><A HREF="modules/xstrndup">xstrndup</A>
1835         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrndup.h">xstrndup.h</A>"
1836         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrndup.c">xstrndup.c</A>
1837         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrndup.m4">xstrndup.m4</A><BR>gl_XSTRNDUP
1838         <TD ALIGN=LEFT VALIGN=TOP>strndup<BR>xalloc
1839       </TR>
1840     </TABLE>
1841     <H3><A NAME="ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
1842     <TABLE>
1843       <TR>
1844         <TH ALIGN=LEFT>modules/
1845         <TH ALIGN=LEFT>lib/
1846         <TH ALIGN=LEFT>lib/
1847         <TH ALIGN=LEFT>m4/
1848         <TH ALIGN=LEFT>&nbsp;
1849       </TR>
1850       <TR>
1851         <TH ALIGN=LEFT>Module
1852         <TH ALIGN=LEFT>Header
1853         <TH ALIGN=LEFT>Implementation
1854         <TH ALIGN=LEFT>Autoconf macro
1855         <TH ALIGN=LEFT>Depends on
1856       </TR>
1857       <TR>
1858         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtod"></A><A HREF="modules/c-strtod">c-strtod</A>
1859         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1860         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A>
1861         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOD
1862         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1863       </TR>
1864       <TR>
1865         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtold"></A><A HREF="modules/c-strtold">c-strtold</A>
1866         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1867         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A><BR><A HREF="lib/c-strtold.c">c-strtold.c</A>
1868         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOLD
1869         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1870       </TR>
1871       <TR>
1872         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtod"></A><A HREF="modules/xstrtod">xstrtod</A>
1873         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtod.h">xstrtod.h</A>"
1874         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtod.c">xstrtod.c</A>
1875         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtod.m4">xstrtod.m4</A><BR>gl_XSTRTOD
1876         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1877       </TR>
1878       <TR>
1879         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtol"></A><A HREF="modules/xstrtol">xstrtol</A>
1880         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
1881         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtol.c">xstrtol.c</A><BR><A HREF="lib/xstrtoul.c">xstrtoul.c</A>
1882         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/xstrtol.m4">xstrtol.m4</A><BR>gl_XSTRTOL
1883         <TD ALIGN=LEFT VALIGN=TOP>exitfail<BR>error<BR>intprops
1884       </TR>
1885       <TR>
1886         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtold"></A><A HREF="modules/xstrtold">xstrtold</A>
1887         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtod.h">xstrtod.h</A>"
1888         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtod.c">xstrtod.c</A><BR><A HREF="lib/xstrtold.c">xstrtold.c</A>
1889         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtod.m4">xstrtod.m4</A><BR>gl_XSTRTOLD
1890         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1891       </TR>
1892     </TABLE>
1893     <H3><A NAME="ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1894     <TABLE>
1895       <TR>
1896         <TH ALIGN=LEFT>modules/
1897         <TH ALIGN=LEFT>lib/
1898         <TH ALIGN=LEFT>lib/
1899         <TH ALIGN=LEFT>m4/
1900         <TH ALIGN=LEFT>&nbsp;
1901       </TR>
1902       <TR>
1903         <TH ALIGN=LEFT>Module
1904         <TH ALIGN=LEFT>Header
1905         <TH ALIGN=LEFT>Implementation
1906         <TH ALIGN=LEFT>Autoconf macro
1907         <TH ALIGN=LEFT>Depends on
1908       </TR>
1909       <TR>
1910         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdate"></A><A HREF="modules/getdate">getdate</A>
1911         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdate.h">getdate.h</A>"
1912         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdate.y">getdate.y</A>
1913         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/bison.m4">bison.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/getdate.m4">getdate.m4</A><BR>gl_GETDATE
1914         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool<BR>gettime<BR>mktime<BR>setenv<BR>xalloc
1915       </TR>
1916       <TR>
1917         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timegm"></A><A HREF="modules/timegm">timegm</A>
1918         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timegm.h">timegm.h</A>"
1919         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/timegm.c">timegm.c</A>
1920         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/timegm.m4">timegm.m4</A><BR>gl_FUNC_TIMEGM
1921         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>time_r
1922       </TR>
1923       <TR>
1924         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tzset"></A><A HREF="modules/tzset">tzset</A>
1925         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1926         <TD ALIGN=LEFT VALIGN=TOP>---
1927         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tzset.m4">tzset.m4</A><BR>gl_FUNC_TZSET_CLOBBER
1928         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday
1929       </TR>
1930     </TABLE>
1931     <H3><A NAME="ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
1932     <TABLE>
1933       <TR>
1934         <TH ALIGN=LEFT>modules/
1935         <TH ALIGN=LEFT>lib/
1936         <TH ALIGN=LEFT>lib/
1937         <TH ALIGN=LEFT>m4/
1938         <TH ALIGN=LEFT>&nbsp;
1939       </TR>
1940       <TR>
1941         <TH ALIGN=LEFT>Module
1942         <TH ALIGN=LEFT>Header
1943         <TH ALIGN=LEFT>Implementation
1944         <TH ALIGN=LEFT>Autoconf macro
1945         <TH ALIGN=LEFT>Depends on
1946       </TR>
1947       <TR>
1948         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlocked-io"></A><A HREF="modules/unlocked-io">unlocked-io</A>
1949         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlocked-io.h">unlocked-io.h</A>"
1950         <TD ALIGN=LEFT VALIGN=TOP>---
1951         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlocked-io.m4">unlocked-io.m4</A><BR>gl_FUNC_GLIBC_UNLOCKED_IO
1952         <TD ALIGN=LEFT VALIGN=TOP>extensions
1953       </TR>
1954       <TR>
1955         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fwriteerror"></A><A HREF="modules/fwriteerror">fwriteerror</A>
1956         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fwriteerror.h">fwriteerror.h</A>"
1957         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fwriteerror.c">fwriteerror.c</A>
1958         <TD ALIGN=LEFT VALIGN=TOP>---
1959         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1960       </TR>
1961       <TR>
1962         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasnprintf"></A><A HREF="modules/vasnprintf">vasnprintf</A>
1963         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasnprintf.h">vasnprintf.h</A>"
1964         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/printf-args.h">printf-args.h</A><BR><A HREF="lib/printf-args.c">printf-args.c</A><BR><A HREF="lib/printf-parse.h">printf-parse.h</A><BR><A HREF="lib/printf-parse.c">printf-parse.c</A><BR><A HREF="lib/vasnprintf.c">vasnprintf.c</A><BR><A HREF="lib/asnprintf.c">asnprintf.c</A>
1965         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/eoverflow.m4">eoverflow.m4</A><BR><A HREF="m4/vasnprintf.m4">vasnprintf.m4</A><BR>gl_FUNC_VASNPRINTF
1966         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt<BR>xsize
1967       </TR>
1968       <TR>
1969         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasprintf"></A><A HREF="modules/vasprintf">vasprintf</A>
1970         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasprintf.h">vasprintf.h</A>"
1971         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vasprintf.c">vasprintf.c</A><BR><A HREF="lib/asprintf.c">asprintf.c</A>
1972         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vasprintf.m4">vasprintf.m4</A><BR>gl_FUNC_VASPRINTF
1973         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf
1974       </TR>
1975       <TR>
1976         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xvasprintf"></A><A HREF="modules/xvasprintf">xvasprintf</A>
1977         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xvasprintf.h">xvasprintf.h</A>"
1978         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xvasprintf.c">xvasprintf.c</A><BR><A HREF="lib/xasprintf.c">xasprintf.c</A><BR><A HREF="lib/xalloc.h">xalloc.h</A>
1979         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xvasprintf.m4">xvasprintf.m4</A><BR>gl_XVASPRINTF
1980         <TD ALIGN=LEFT VALIGN=TOP>vasprintf<BR>xalloc-die<BR>xsize<BR>stdarg
1981       </TR>
1982     </TABLE>
1983     <H3><A NAME="ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
1984     <TABLE>
1985       <TR>
1986         <TH ALIGN=LEFT>modules/
1987         <TH ALIGN=LEFT>lib/
1988         <TH ALIGN=LEFT>lib/
1989         <TH ALIGN=LEFT>m4/
1990         <TH ALIGN=LEFT>&nbsp;
1991       </TR>
1992       <TR>
1993         <TH ALIGN=LEFT>Module
1994         <TH ALIGN=LEFT>Header
1995         <TH ALIGN=LEFT>Implementation
1996         <TH ALIGN=LEFT>Autoconf macro
1997         <TH ALIGN=LEFT>Depends on
1998       </TR>
1999       <TR>
2000         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fatal-signal"></A><A HREF="modules/fatal-signal">fatal-signal</A>
2001         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fatal-signal.h">fatal-signal.h</A>"
2002         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fatal-signal.c">fatal-signal.c</A>
2003         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fatal-signal.m4">fatal-signal.m4</A><BR><A HREF="m4/signalblocking.m4">signalblocking.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_FATAL_SIGNAL
2004         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool<BR>unistd
2005       </TR>
2006       <TR>
2007         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=raise"></A><A HREF="modules/raise">raise</A>
2008         <TD ALIGN=LEFT VALIGN=TOP>---
2009         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/raise.c">raise.c</A>
2010         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(raise)
2011         <TD ALIGN=LEFT VALIGN=TOP>---
2012       </TR>
2013     </TABLE>
2014     <H3><A NAME="ansic_ext_argv">Command-line arguments</A></H3>
2015     <TABLE>
2016       <TR>
2017         <TH ALIGN=LEFT>modules/
2018         <TH ALIGN=LEFT>lib/
2019         <TH ALIGN=LEFT>lib/
2020         <TH ALIGN=LEFT>m4/
2021         <TH ALIGN=LEFT>&nbsp;
2022       </TR>
2023       <TR>
2024         <TH ALIGN=LEFT>Module
2025         <TH ALIGN=LEFT>Header
2026         <TH ALIGN=LEFT>Implementation
2027         <TH ALIGN=LEFT>Autoconf macro
2028         <TH ALIGN=LEFT>Depends on
2029       </TR>
2030       <TR>
2031         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argmatch"></A><A HREF="modules/argmatch">argmatch</A>
2032         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argmatch.h">argmatch.h</A>"
2033         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argmatch.c">argmatch.c</A>
2034         <TD ALIGN=LEFT VALIGN=TOP>---
2035         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>error<BR>quotearg<BR>quote<BR>exit<BR>exitfail<BR>verify<BR>stdbool
2036       </TR>
2037       <TR>
2038         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc"></A><A HREF="modules/version-etc">version-etc</A>
2039         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/version-etc.h">version-etc.h</A>"
2040         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc.c">version-etc.c</A>
2041         <TD ALIGN=LEFT VALIGN=TOP>---
2042         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>stdarg
2043       </TR>
2044       <TR>
2045         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc-fsf"></A><A HREF="modules/version-etc-fsf">version-etc-fsf</A>
2046         <TD ALIGN=LEFT VALIGN=TOP>---
2047         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc-fsf.c">version-etc-fsf.c</A>
2048         <TD ALIGN=LEFT VALIGN=TOP>---
2049         <TD ALIGN=LEFT VALIGN=TOP>version-etc
2050       </TR>
2051       <TR>
2052         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=long-options"></A><A HREF="modules/long-options">long-options</A>
2053         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/long-options.h">long-options.h</A>"
2054         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/long-options.c">long-options.c</A>
2055         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/long-options.m4">long-options.m4</A><BR>gl_LONG_OPTIONS
2056         <TD ALIGN=LEFT VALIGN=TOP>version-etc
2057       </TR>
2058     </TABLE>
2059     <H3><A NAME="ansic_ext_container">Container data structures</A></H3>
2060     <TABLE>
2061       <TR>
2062         <TH ALIGN=LEFT>modules/
2063         <TH ALIGN=LEFT>lib/
2064         <TH ALIGN=LEFT>lib/
2065         <TH ALIGN=LEFT>m4/
2066         <TH ALIGN=LEFT>&nbsp;
2067       </TR>
2068       <TR>
2069         <TH ALIGN=LEFT>Module
2070         <TH ALIGN=LEFT>Header
2071         <TH ALIGN=LEFT>Implementation
2072         <TH ALIGN=LEFT>Autoconf macro
2073         <TH ALIGN=LEFT>Depends on
2074       </TR>
2075       <TR>
2076         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=list"></A><A HREF="modules/list">list</A>
2077         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_list.h">gl_list.h</A>"
2078         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_list.c">gl_list.c</A>
2079         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gl_list.m4">gl_list.m4</A><BR>gl_LIST
2080         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2081       </TR>
2082       <TR>
2083         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=array-list"></A><A HREF="modules/array-list">array-list</A>
2084         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_array_list.h">gl_array_list.h</A>"
2085         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_array_list.c">gl_array_list.c</A>
2086         <TD ALIGN=LEFT VALIGN=TOP>---
2087         <TD ALIGN=LEFT VALIGN=TOP>list<BR>xalloc<BR>xsize
2088       </TR>
2089       <TR>
2090         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=carray-list"></A><A HREF="modules/carray-list">carray-list</A>
2091         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_carray_list.h">gl_carray_list.h</A>"
2092         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_carray_list.c">gl_carray_list.c</A>
2093         <TD ALIGN=LEFT VALIGN=TOP>---
2094         <TD ALIGN=LEFT VALIGN=TOP>list<BR>xalloc<BR>xsize
2095       </TR>
2096       <TR>
2097         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linked-list"></A><A HREF="modules/linked-list">linked-list</A>
2098         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_linked_list.h">gl_linked_list.h</A>"
2099         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_linked_list.c">gl_linked_list.c</A><BR><A HREF="lib/gl_anylinked_list1.h">gl_anylinked_list1.h</A><BR><A HREF="lib/gl_anylinked_list2.h">gl_anylinked_list2.h</A>
2100         <TD ALIGN=LEFT VALIGN=TOP>---
2101         <TD ALIGN=LEFT VALIGN=TOP>list<BR>xalloc
2102       </TR>
2103       <TR>
2104         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=avltree-list"></A><A HREF="modules/avltree-list">avltree-list</A>
2105         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_avltree_list.h">gl_avltree_list.h</A>"
2106         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_avltree_list.c">gl_avltree_list.c</A><BR><A HREF="lib/gl_anyavltree_list1.h">gl_anyavltree_list1.h</A><BR><A HREF="lib/gl_anyavltree_list2.h">gl_anyavltree_list2.h</A><BR><A HREF="lib/gl_anytree_list1.h">gl_anytree_list1.h</A><BR><A HREF="lib/gl_anytree_list2.h">gl_anytree_list2.h</A>
2107         <TD ALIGN=LEFT VALIGN=TOP>---
2108         <TD ALIGN=LEFT VALIGN=TOP>list<BR>xalloc
2109       </TR>
2110       <TR>
2111         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rbtree-list"></A><A HREF="modules/rbtree-list">rbtree-list</A>
2112         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_rbtree_list.h">gl_rbtree_list.h</A>"
2113         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_rbtree_list.c">gl_rbtree_list.c</A><BR><A HREF="lib/gl_anyrbtree_list1.h">gl_anyrbtree_list1.h</A><BR><A HREF="lib/gl_anyrbtree_list2.h">gl_anyrbtree_list2.h</A><BR><A HREF="lib/gl_anytree_list1.h">gl_anytree_list1.h</A><BR><A HREF="lib/gl_anytree_list2.h">gl_anytree_list2.h</A>
2114         <TD ALIGN=LEFT VALIGN=TOP>---
2115         <TD ALIGN=LEFT VALIGN=TOP>list<BR>xalloc
2116       </TR>
2117       <TR>
2118         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linkedhash-list"></A><A HREF="modules/linkedhash-list">linkedhash-list</A>
2119         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_linkedhash_list.h">gl_linkedhash_list.h</A>"
2120         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_linkedhash_list.c">gl_linkedhash_list.c</A><BR><A HREF="lib/gl_anyhash_list1.h">gl_anyhash_list1.h</A><BR><A HREF="lib/gl_anyhash_list2.h">gl_anyhash_list2.h</A><BR><A HREF="lib/gl_anylinked_list1.h">gl_anylinked_list1.h</A><BR><A HREF="lib/gl_anylinked_list2.h">gl_anylinked_list2.h</A>
2121         <TD ALIGN=LEFT VALIGN=TOP>---
2122         <TD ALIGN=LEFT VALIGN=TOP>list<BR>size_max<BR>xalloc<BR>xsize
2123       </TR>
2124       <TR>
2125         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=avltreehash-list"></A><A HREF="modules/avltreehash-list">avltreehash-list</A>
2126         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_avltreehash_list.h">gl_avltreehash_list.h</A>"
2127         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_avltreehash_list.c">gl_avltreehash_list.c</A><BR><A HREF="lib/gl_anyhash_list1.h">gl_anyhash_list1.h</A><BR><A HREF="lib/gl_anyhash_list2.h">gl_anyhash_list2.h</A><BR><A HREF="lib/gl_anyavltree_list1.h">gl_anyavltree_list1.h</A><BR><A HREF="lib/gl_anyavltree_list2.h">gl_anyavltree_list2.h</A><BR><A HREF="lib/gl_anytree_list1.h">gl_anytree_list1.h</A><BR><A HREF="lib/gl_anytree_list2.h">gl_anytree_list2.h</A><BR><A HREF="lib/gl_anytreehash_list1.h">gl_anytreehash_list1.h</A><BR><A HREF="lib/gl_anytreehash_list2.h">gl_anytreehash_list2.h</A>
2128         <TD ALIGN=LEFT VALIGN=TOP>---
2129         <TD ALIGN=LEFT VALIGN=TOP>list<BR>avltree-oset<BR>size_max<BR>xalloc<BR>xsize
2130       </TR>
2131       <TR>
2132         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rbtreehash-list"></A><A HREF="modules/rbtreehash-list">rbtreehash-list</A>
2133         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_rbtreehash_list.h">gl_rbtreehash_list.h</A>"
2134         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_rbtreehash_list.c">gl_rbtreehash_list.c</A><BR><A HREF="lib/gl_anyhash_list1.h">gl_anyhash_list1.h</A><BR><A HREF="lib/gl_anyhash_list2.h">gl_anyhash_list2.h</A><BR><A HREF="lib/gl_anyrbtree_list1.h">gl_anyrbtree_list1.h</A><BR><A HREF="lib/gl_anyrbtree_list2.h">gl_anyrbtree_list2.h</A><BR><A HREF="lib/gl_anytree_list1.h">gl_anytree_list1.h</A><BR><A HREF="lib/gl_anytree_list2.h">gl_anytree_list2.h</A><BR><A HREF="lib/gl_anytreehash_list1.h">gl_anytreehash_list1.h</A><BR><A HREF="lib/gl_anytreehash_list2.h">gl_anytreehash_list2.h</A>
2135         <TD ALIGN=LEFT VALIGN=TOP>---
2136         <TD ALIGN=LEFT VALIGN=TOP>list<BR>rbtree-oset<BR>size_max<BR>xalloc<BR>xsize
2137       </TR>
2138       <TR>
2139         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=oset"></A><A HREF="modules/oset">oset</A>
2140         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_oset.h">gl_oset.h</A>"
2141         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_oset.c">gl_oset.c</A>
2142         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gl_list.m4">gl_list.m4</A><BR>gl_LIST
2143         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2144       </TR>
2145       <TR>
2146         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=array-oset"></A><A HREF="modules/array-oset">array-oset</A>
2147         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_array_oset.h">gl_array_oset.h</A>"
2148         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_array_oset.c">gl_array_oset.c</A>
2149         <TD ALIGN=LEFT VALIGN=TOP>---
2150         <TD ALIGN=LEFT VALIGN=TOP>oset<BR>xalloc<BR>xsize
2151       </TR>
2152       <TR>
2153         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=avltree-oset"></A><A HREF="modules/avltree-oset">avltree-oset</A>
2154         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_avltree_oset.h">gl_avltree_oset.h</A>"
2155         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_avltree_oset.c">gl_avltree_oset.c</A><BR><A HREF="lib/gl_anytree_oset.h">gl_anytree_oset.h</A>
2156         <TD ALIGN=LEFT VALIGN=TOP>---
2157         <TD ALIGN=LEFT VALIGN=TOP>oset<BR>xalloc
2158       </TR>
2159       <TR>
2160         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rbtree-oset"></A><A HREF="modules/rbtree-oset">rbtree-oset</A>
2161         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gl_rbtree_oset.h">gl_rbtree_oset.h</A>"
2162         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gl_rbtree_oset.c">gl_rbtree_oset.c</A><BR><A HREF="lib/gl_anytree_oset.h">gl_anytree_oset.h</A>
2163         <TD ALIGN=LEFT VALIGN=TOP>---
2164         <TD ALIGN=LEFT VALIGN=TOP>oset<BR>xalloc
2165       </TR>
2166     </TABLE>
2167     <H3><A NAME="ansic_ext_crypto">Cryptographic computations</A></H3>
2168     <TABLE>
2169       <TR>
2170         <TH ALIGN=LEFT>modules/
2171         <TH ALIGN=LEFT>lib/
2172         <TH ALIGN=LEFT>lib/
2173         <TH ALIGN=LEFT>m4/
2174         <TH ALIGN=LEFT>&nbsp;
2175       </TR>
2176       <TR>
2177         <TH ALIGN=LEFT>Module
2178         <TH ALIGN=LEFT>Header
2179         <TH ALIGN=LEFT>Implementation
2180         <TH ALIGN=LEFT>Autoconf macro
2181         <TH ALIGN=LEFT>Depends on
2182       </TR>
2183       <TR>
2184         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md5"></A><A HREF="modules/md5">md5</A>
2185         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md5.h">md5.h</A>"
2186         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.c">md5.c</A>
2187         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md5.m4">md5.m4</A><BR>gl_MD5
2188         <TD ALIGN=LEFT VALIGN=TOP>stdint
2189       </TR>
2190       <TR>
2191         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sha1"></A><A HREF="modules/sha1">sha1</A>
2192         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sha1.h">sha1.h</A>"
2193         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.c">sha1.c</A>
2194         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sha1.m4">sha1.m4</A><BR>gl_SHA1
2195         <TD ALIGN=LEFT VALIGN=TOP>stdint
2196       </TR>
2197     </TABLE>
2198     <H3><A NAME="ansic_ext_misc">Misc</A></H3>
2199     <TABLE>
2200       <TR>
2201         <TH ALIGN=LEFT>modules/
2202         <TH ALIGN=LEFT>lib/
2203         <TH ALIGN=LEFT>lib/
2204         <TH ALIGN=LEFT>m4/
2205         <TH ALIGN=LEFT>&nbsp;
2206       </TR>
2207       <TR>
2208         <TH ALIGN=LEFT>Module
2209         <TH ALIGN=LEFT>Header
2210         <TH ALIGN=LEFT>Implementation
2211         <TH ALIGN=LEFT>Autoconf macro
2212         <TH ALIGN=LEFT>Depends on
2213       </TR>
2214       <TR>
2215         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=base64"></A><A HREF="modules/base64">base64</A>
2216         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/base64.h">base64.h</A>"
2217         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/base64.c">base64.c</A>
2218         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/base64.m4">base64.m4</A><BR>gl_FUNC_BASE64
2219         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2220       </TR>
2221       <TR>
2222         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=check-version"></A><A HREF="modules/check-version">check-version</A>
2223         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/check-version.h">check-version.h</A>"
2224         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/check-version.c">check-version.c</A>
2225         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/check-version.m4">check-version.m4</A><BR>gl_CHECK_VERSION
2226         <TD ALIGN=LEFT VALIGN=TOP>strverscmp
2227       </TR>
2228       <TR>
2229         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=diacrit"></A><A HREF="modules/diacrit">diacrit</A>
2230         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/diacrit.h">diacrit.h</A>"
2231         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/diacrit.c">diacrit.c</A>
2232         <TD ALIGN=LEFT VALIGN=TOP>---
2233         <TD ALIGN=LEFT VALIGN=TOP>---
2234       </TR>
2235       <TR>
2236         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getline"></A><A HREF="modules/getline">getline</A>
2237         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getline.h">getline.h</A>"
2238         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getline.c">getline.c</A>
2239         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getline.m4">getline.m4</A><BR>gl_FUNC_GETLINE
2240         <TD ALIGN=LEFT VALIGN=TOP>getdelim
2241       </TR>
2242       <TR>
2243         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdelim"></A><A HREF="modules/getdelim">getdelim</A>
2244         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdelim.h">getdelim.h</A>"
2245         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdelim.c">getdelim.c</A>
2246         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdelim.m4">getdelim.m4</A><BR>gl_FUNC_GETDELIM
2247         <TD ALIGN=LEFT VALIGN=TOP>---
2248       </TR>
2249       <TR>
2250         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getnline"></A><A HREF="modules/getnline">getnline</A>
2251         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getnline.h">getnline.h</A>"
2252         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getnline.c">getnline.c</A>
2253         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getnline.m4">getnline.m4</A><BR>gl_GETNLINE
2254         <TD ALIGN=LEFT VALIGN=TOP>getndelim2<BR>ssize_t
2255       </TR>
2256       <TR>
2257         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getndelim2"></A><A HREF="modules/getndelim2">getndelim2</A>
2258         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getndelim2.h">getndelim2.h</A>"
2259         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getndelim2.c">getndelim2.c</A>
2260         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getndelim2.m4">getndelim2.m4</A><BR>gl_GETNDELIM2
2261         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
2262       </TR>
2263       <TR>
2264         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebuffer"></A><A HREF="modules/linebuffer">linebuffer</A>
2265         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebuffer.h">linebuffer.h</A>"
2266         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebuffer.c">linebuffer.c</A>
2267         <TD ALIGN=LEFT VALIGN=TOP>---
2268         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2269       </TR>
2270       <TR>
2271         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=obstack"></A><A HREF="modules/obstack">obstack</A>
2272         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/obstack.h">obstack.h</A>"
2273         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/obstack.c">obstack.c</A>
2274         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/obstack.m4">obstack.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR>gl_OBSTACK
2275         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exit<BR>exitfail
2276       </TR>
2277       <TR>
2278         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash-pjw"></A><A HREF="modules/hash-pjw">hash-pjw</A>
2279         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash-pjw.h">hash-pjw.h</A>"
2280         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash-pjw.c">hash-pjw.c</A>
2281         <TD ALIGN=LEFT VALIGN=TOP>---
2282         <TD ALIGN=LEFT VALIGN=TOP>---
2283       </TR>
2284       <TR>
2285         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash"></A><A HREF="modules/hash">hash</A>
2286         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash.h">hash.h</A>"
2287         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash.c">hash.c</A>
2288         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hash.m4">hash.m4</A><BR>gl_HASH
2289         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
2290       </TR>
2291       <TR>
2292         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readline"></A><A HREF="modules/readline">readline</A>
2293         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readline.h">readline.h</A>"
2294         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readline.c">readline.c</A>
2295         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readline.m4">readline.m4</A><BR>gl_FUNC_READLINE
2296         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>havelib
2297       </TR>
2298       <TR>
2299         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens"></A><A HREF="modules/readtokens">readtokens</A>
2300         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens.h">readtokens.h</A>"
2301         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens.c">readtokens.c</A>
2302         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readtokens.m4">readtokens.m4</A><BR>gl_READTOKENS
2303         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
2304       </TR>
2305       <TR>
2306         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens0"></A><A HREF="modules/readtokens0">readtokens0</A>
2307         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens0.h">readtokens0.h</A>"
2308         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens0.c">readtokens0.c</A>
2309         <TD ALIGN=LEFT VALIGN=TOP>---
2310         <TD ALIGN=LEFT VALIGN=TOP>obstack<BR>stdbool
2311       </TR>
2312       <TR>
2313         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strverscmp"></A><A HREF="modules/strverscmp">strverscmp</A>
2314         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strverscmp.h">strverscmp.h</A>"
2315         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strverscmp.c">strverscmp.c</A>
2316         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strverscmp.m4">strverscmp.m4</A><BR>gl_FUNC_STRVERSCMP
2317         <TD ALIGN=LEFT VALIGN=TOP>---
2318       </TR>
2319     </TABLE>
2320     <H2><A NAME="isoc_sup">Support for systems lacking ISO C 99</A></H2>
2321     <H3><A NAME="isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
2322     <TABLE>
2323       <TR>
2324         <TH ALIGN=LEFT>modules/
2325         <TH ALIGN=LEFT>lib/
2326         <TH ALIGN=LEFT>lib/
2327         <TH ALIGN=LEFT>m4/
2328         <TH ALIGN=LEFT>&nbsp;
2329       </TR>
2330       <TR>
2331         <TH ALIGN=LEFT>Module
2332         <TH ALIGN=LEFT>Header
2333         <TH ALIGN=LEFT>Implementation
2334         <TH ALIGN=LEFT>Autoconf macro
2335         <TH ALIGN=LEFT>Depends on
2336       </TR>
2337       <TR>
2338         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ullong_max"></A><A HREF="modules/ullong_max">ullong_max</A>
2339         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/limits.h.html">limits.h</A>&gt;
2340         <TD ALIGN=LEFT VALIGN=TOP>---
2341         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ullong_max.m4">ullong_max.m4</A><BR>gl_ULLONG_MAX
2342         <TD ALIGN=LEFT VALIGN=TOP>---
2343       </TR>
2344       <TR>
2345         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=size_max"></A><A HREF="modules/size_max">size_max</A>
2346         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/size_max.h">size_max.h</A>"
2347         <TD ALIGN=LEFT VALIGN=TOP>---
2348         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/size_max.m4">size_max.m4</A><BR>gl_SIZE_MAX
2349         <TD ALIGN=LEFT VALIGN=TOP>---
2350       </TR>
2351     </TABLE>
2352     <H3><A NAME="isoc_sup_stdarg">Variable arguments &lt;stdarg.h&gt;</A></H3>
2353     <TABLE>
2354       <TR>
2355         <TH ALIGN=LEFT>modules/
2356         <TH ALIGN=LEFT>lib/
2357         <TH ALIGN=LEFT>lib/
2358         <TH ALIGN=LEFT>m4/
2359         <TH ALIGN=LEFT>&nbsp;
2360       </TR>
2361       <TR>
2362         <TH ALIGN=LEFT>Module
2363         <TH ALIGN=LEFT>Header
2364         <TH ALIGN=LEFT>Implementation
2365         <TH ALIGN=LEFT>Autoconf macro
2366         <TH ALIGN=LEFT>Depends on
2367       </TR>
2368       <TR>
2369         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdarg"></A><A HREF="modules/stdarg">stdarg</A>
2370         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdarg.h.html">stdarg.h</A>&gt;
2371         <TD ALIGN=LEFT VALIGN=TOP>---
2372         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdarg.m4">stdarg.m4</A><BR>gl_STDARG_H
2373         <TD ALIGN=LEFT VALIGN=TOP>---
2374       </TR>
2375     </TABLE>
2376     <H3><A NAME="isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
2377     <TABLE>
2378       <TR>
2379         <TH ALIGN=LEFT>modules/
2380         <TH ALIGN=LEFT>lib/
2381         <TH ALIGN=LEFT>lib/
2382         <TH ALIGN=LEFT>m4/
2383         <TH ALIGN=LEFT>&nbsp;
2384       </TR>
2385       <TR>
2386         <TH ALIGN=LEFT>Module
2387         <TH ALIGN=LEFT>Header
2388         <TH ALIGN=LEFT>Implementation
2389         <TH ALIGN=LEFT>Autoconf macro
2390         <TH ALIGN=LEFT>Depends on
2391       </TR>
2392       <TR>
2393         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdbool"></A><A HREF="modules/stdbool">stdbool</A>
2394         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdbool.h.html">stdbool.h</A>&gt;
2395         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdbool_.h">stdbool_.h</A>
2396         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdbool.m4">stdbool.m4</A><BR>AM_STDBOOL_H
2397         <TD ALIGN=LEFT VALIGN=TOP>---
2398       </TR>
2399     </TABLE>
2400     <H3><A NAME="isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
2401     <TABLE>
2402       <TR>
2403         <TH ALIGN=LEFT>modules/
2404         <TH ALIGN=LEFT>lib/
2405         <TH ALIGN=LEFT>lib/
2406         <TH ALIGN=LEFT>m4/
2407         <TH ALIGN=LEFT>&nbsp;
2408       </TR>
2409       <TR>
2410         <TH ALIGN=LEFT>Module
2411         <TH ALIGN=LEFT>Header
2412         <TH ALIGN=LEFT>Implementation
2413         <TH ALIGN=LEFT>Autoconf macro
2414         <TH ALIGN=LEFT>Depends on
2415       </TR>
2416       <TR>
2417         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdint"></A><A HREF="modules/stdint">stdint</A>
2418         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdint.h.html">stdint.h</A>&gt;
2419         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdint_.h">stdint_.h</A>
2420         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdint.m4">stdint.m4</A><BR><A HREF="m4/absolute-header.m4">absolute-header.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR>gl_STDINT_H
2421         <TD ALIGN=LEFT VALIGN=TOP>---
2422       </TR>
2423     </TABLE>
2424     <H3><A NAME="isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
2425     <TABLE>
2426       <TR>
2427         <TH ALIGN=LEFT>modules/
2428         <TH ALIGN=LEFT>lib/
2429         <TH ALIGN=LEFT>lib/
2430         <TH ALIGN=LEFT>m4/
2431         <TH ALIGN=LEFT>&nbsp;
2432       </TR>
2433       <TR>
2434         <TH ALIGN=LEFT>Module
2435         <TH ALIGN=LEFT>Header
2436         <TH ALIGN=LEFT>Implementation
2437         <TH ALIGN=LEFT>Autoconf macro
2438         <TH ALIGN=LEFT>Depends on
2439       </TR>
2440       <TR>
2441         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=snprintf"></A><A HREF="modules/snprintf">snprintf</A>
2442         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/snprintf.h">snprintf.h</A>"
2443         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/snprintf.c">snprintf.c</A>
2444         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/snprintf.m4">snprintf.m4</A><BR>gl_FUNC_SNPRINTF
2445         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf
2446       </TR>
2447       <TR>
2448         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vsnprintf"></A><A HREF="modules/vsnprintf">vsnprintf</A>
2449         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vsnprintf.h">vsnprintf.h</A>"
2450         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vsnprintf.c">vsnprintf.c</A>
2451         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vsnprintf.m4">vsnprintf.m4</A><BR>gl_FUNC_VSNPRINTF
2452         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf<BR>minmax
2453       </TR>
2454     </TABLE>
2455     <H3><A NAME="isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2456     <TABLE>
2457       <TR>
2458         <TH ALIGN=LEFT>modules/
2459         <TH ALIGN=LEFT>lib/
2460         <TH ALIGN=LEFT>lib/
2461         <TH ALIGN=LEFT>m4/
2462         <TH ALIGN=LEFT>&nbsp;
2463       </TR>
2464       <TR>
2465         <TH ALIGN=LEFT>Module
2466         <TH ALIGN=LEFT>Header
2467         <TH ALIGN=LEFT>Implementation
2468         <TH ALIGN=LEFT>Autoconf macro
2469         <TH ALIGN=LEFT>Depends on
2470       </TR>
2471       <TR>
2472         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoll"></A><A HREF="modules/strtoll">strtoll</A>
2473         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2474         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoll.c">strtoll.c</A>
2475         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/strtoll.m4">strtoll.m4</A><BR>gl_FUNC_STRTOLL
2476         <TD ALIGN=LEFT VALIGN=TOP>strtol
2477       </TR>
2478       <TR>
2479         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoull"></A><A HREF="modules/strtoull">strtoull</A>
2480         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2481         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoull.c">strtoull.c</A>
2482         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/strtoull.m4">strtoull.m4</A><BR>gl_FUNC_STRTOULL
2483         <TD ALIGN=LEFT VALIGN=TOP>strtoul
2484       </TR>
2485     </TABLE>
2486     <H3><A NAME="isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
2487     <TABLE>
2488       <TR>
2489         <TH ALIGN=LEFT>modules/
2490         <TH ALIGN=LEFT>lib/
2491         <TH ALIGN=LEFT>lib/
2492         <TH ALIGN=LEFT>m4/
2493         <TH ALIGN=LEFT>&nbsp;
2494       </TR>
2495       <TR>
2496         <TH ALIGN=LEFT>Module
2497         <TH ALIGN=LEFT>Header
2498         <TH ALIGN=LEFT>Implementation
2499         <TH ALIGN=LEFT>Autoconf macro
2500         <TH ALIGN=LEFT>Depends on
2501       </TR>
2502       <TR>
2503         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoimax"></A><A HREF="modules/strtoimax">strtoimax</A>
2504         <TD ALIGN=LEFT VALIGN=TOP>---
2505         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoimax.c">strtoimax.c</A>
2506         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/strtoimax.m4">strtoimax.m4</A><BR>gl_FUNC_STRTOIMAX
2507         <TD ALIGN=LEFT VALIGN=TOP>strtoll<BR>verify<BR>inttypes
2508       </TR>
2509       <TR>
2510         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoumax"></A><A HREF="modules/strtoumax">strtoumax</A>
2511         <TD ALIGN=LEFT VALIGN=TOP>---
2512         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoumax.c">strtoumax.c</A>
2513         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/strtoumax.m4">strtoumax.m4</A><BR>gl_FUNC_STRTOUMAX
2514         <TD ALIGN=LEFT VALIGN=TOP>strtoimax<BR>strtoull<BR>inttypes
2515       </TR>
2516     </TABLE>
2517     <H3><A NAME="isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
2518     <TABLE>
2519       <TR>
2520         <TH ALIGN=LEFT>modules/
2521         <TH ALIGN=LEFT>lib/
2522         <TH ALIGN=LEFT>lib/
2523         <TH ALIGN=LEFT>m4/
2524         <TH ALIGN=LEFT>&nbsp;
2525       </TR>
2526       <TR>
2527         <TH ALIGN=LEFT>Module
2528         <TH ALIGN=LEFT>Header
2529         <TH ALIGN=LEFT>Implementation
2530         <TH ALIGN=LEFT>Autoconf macro
2531         <TH ALIGN=LEFT>Depends on
2532       </TR>
2533       <TR>
2534         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mathl"></A><A HREF="modules/mathl">mathl</A>
2535         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mathl.h">mathl.h</A>"
2536         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acosl.c">acosl.c</A><BR><A HREF="lib/asinl.c">asinl.c</A><BR><A HREF="lib/atanl.c">atanl.c</A><BR><A HREF="lib/ceill.c">ceill.c</A><BR><A HREF="lib/cosl.c">cosl.c</A><BR><A HREF="lib/expl.c">expl.c</A><BR><A HREF="lib/floorl.c">floorl.c</A><BR><A HREF="lib/frexpl.c">frexpl.c</A><BR><A HREF="lib/ldexpl.c">ldexpl.c</A><BR><A HREF="lib/logl.c">logl.c</A><BR><A HREF="lib/sincosl.c">sincosl.c</A><BR><A HREF="lib/sinl.c">sinl.c</A><BR><A HREF="lib/sqrtl.c">sqrtl.c</A><BR><A HREF="lib/tanl.c">tanl.c</A><BR><A HREF="lib/trigl.c">trigl.c</A><BR><A HREF="lib/trigl.h">trigl.h</A>
2537         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mathl.m4">mathl.m4</A><BR>gl_FUNC_LONG_DOUBLE_MATH
2538         <TD ALIGN=LEFT VALIGN=TOP>---
2539       </TR>
2540     </TABLE>
2541     <H2><A NAME="isoc_enh">Enhancements for ISO C 99 functions</A></H2>
2542     <TABLE>
2543       <TR>
2544         <TH ALIGN=LEFT>modules/
2545         <TH ALIGN=LEFT>lib/
2546         <TH ALIGN=LEFT>lib/
2547         <TH ALIGN=LEFT>m4/
2548         <TH ALIGN=LEFT>&nbsp;
2549       </TR>
2550       <TR>
2551         <TH ALIGN=LEFT>Module
2552         <TH ALIGN=LEFT>Header
2553         <TH ALIGN=LEFT>Implementation
2554         <TH ALIGN=LEFT>Autoconf macro
2555         <TH ALIGN=LEFT>Depends on
2556       </TR>
2557     </TABLE>
2558     <H2><A NAME="isoc_ext">Extra functions based on ISO C 99</A></H2>
2559     <H3><A NAME="isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2560     <TABLE>
2561       <TR>
2562         <TH ALIGN=LEFT>modules/
2563         <TH ALIGN=LEFT>lib/
2564         <TH ALIGN=LEFT>lib/
2565         <TH ALIGN=LEFT>m4/
2566         <TH ALIGN=LEFT>&nbsp;
2567       </TR>
2568       <TR>
2569         <TH ALIGN=LEFT>Module
2570         <TH ALIGN=LEFT>Header
2571         <TH ALIGN=LEFT>Implementation
2572         <TH ALIGN=LEFT>Autoconf macro
2573         <TH ALIGN=LEFT>Depends on
2574       </TR>
2575       <TR>
2576         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=intprops"></A><A HREF="modules/intprops">intprops</A>
2577         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/intprops.h">intprops.h</A>"
2578         <TD ALIGN=LEFT VALIGN=TOP>---
2579         <TD ALIGN=LEFT VALIGN=TOP>---
2580         <TD ALIGN=LEFT VALIGN=TOP>---
2581       </TR>
2582       <TR>
2583         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inttostr"></A><A HREF="modules/inttostr">inttostr</A>
2584         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inttostr.h">inttostr.h</A>"
2585         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/imaxtostr.c">imaxtostr.c</A><BR><A HREF="lib/inttostr.c">inttostr.c</A><BR><A HREF="lib/offtostr.c">offtostr.c</A><BR><A HREF="lib/umaxtostr.c">umaxtostr.c</A>
2586         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/inttostr.m4">inttostr.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR>gl_INTTOSTR
2587         <TD ALIGN=LEFT VALIGN=TOP>intprops
2588       </TR>
2589       <TR>
2590         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoimax"></A><A HREF="modules/xstrtoimax">xstrtoimax</A>
2591         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2592         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoimax.c">xstrtoimax.c</A>
2593         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoimax.m4">xstrtoimax.m4</A><BR>gl_XSTRTOIMAX
2594         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoimax
2595       </TR>
2596       <TR>
2597         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoumax"></A><A HREF="modules/xstrtoumax">xstrtoumax</A>
2598         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2599         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoumax.c">xstrtoumax.c</A>
2600         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoumax.m4">xstrtoumax.m4</A><BR>gl_XSTRTOUMAX
2601         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoumax
2602       </TR>
2603     </TABLE>
2604     <H3><A NAME="isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
2605     <TABLE>
2606       <TR>
2607         <TH ALIGN=LEFT>modules/
2608         <TH ALIGN=LEFT>lib/
2609         <TH ALIGN=LEFT>lib/
2610         <TH ALIGN=LEFT>m4/
2611         <TH ALIGN=LEFT>&nbsp;
2612       </TR>
2613       <TR>
2614         <TH ALIGN=LEFT>Module
2615         <TH ALIGN=LEFT>Header
2616         <TH ALIGN=LEFT>Implementation
2617         <TH ALIGN=LEFT>Autoconf macro
2618         <TH ALIGN=LEFT>Depends on
2619       </TR>
2620       <TR>
2621         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbchar"></A><A HREF="modules/mbchar">mbchar</A>
2622         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_WCHAR_H && HAVE_WCTYPE_H<BR>#include "<A HREF="lib/mbchar.h">mbchar.h</A>"<BR>#endif
2623         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbchar.c">mbchar.c</A>
2624         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbchar.m4">mbchar.m4</A><BR>gl_MBCHAR
2625         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>wcwidth
2626       </TR>
2627       <TR>
2628         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbiter"></A><A HREF="modules/mbiter">mbiter</A>
2629         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbiter.h">mbiter.h</A>"<BR>#endif
2630         <TD ALIGN=LEFT VALIGN=TOP>---
2631         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbiter.m4">mbiter.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBITER
2632         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2633       </TR>
2634       <TR>
2635         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbuiter"></A><A HREF="modules/mbuiter">mbuiter</A>
2636         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbuiter.h">mbuiter.h</A>"<BR>#endif
2637         <TD ALIGN=LEFT VALIGN=TOP>---
2638         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbiter.m4">mbiter.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBITER
2639         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool<BR>strnlen1
2640       </TR>
2641       <TR>
2642         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbfile"></A><A HREF="modules/mbfile">mbfile</A>
2643         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbfile.h">mbfile.h</A>"<BR>#endif
2644         <TD ALIGN=LEFT VALIGN=TOP>---
2645         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbfile.m4">mbfile.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBFILE
2646         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2647       </TR>
2648     </TABLE>
2649     <H2><A NAME="posix_sup">Support for systems lacking POSIX:2001</A></H2>
2650     <TABLE>
2651       <TR>
2652         <TH ALIGN=LEFT>modules/
2653         <TH ALIGN=LEFT>lib/
2654         <TH ALIGN=LEFT>lib/
2655         <TH ALIGN=LEFT>m4/
2656         <TH ALIGN=LEFT>&nbsp;
2657       </TR>
2658       <TR>
2659         <TH ALIGN=LEFT>Module
2660         <TH ALIGN=LEFT>Header
2661         <TH ALIGN=LEFT>Implementation
2662         <TH ALIGN=LEFT>Autoconf macro
2663         <TH ALIGN=LEFT>Depends on
2664       </TR>
2665       <TR>
2666         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chown"></A><A HREF="modules/chown">chown</A>
2667         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2668         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chown.c">chown.c</A><BR><A HREF="lib/fchown-stub.c">fchown-stub.c</A>
2669         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chown.m4">chown.m4</A><BR>gl_FUNC_CHOWN
2670         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2671       </TR>
2672       <TR>
2673         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dup2"></A><A HREF="modules/dup2">dup2</A>
2674         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2675         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dup2.c">dup2.c</A>
2676         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dup2.m4">dup2.m4</A><BR>gl_FUNC_DUP2
2677         <TD ALIGN=LEFT VALIGN=TOP>---
2678       </TR>
2679       <TR>
2680         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ftruncate"></A><A HREF="modules/ftruncate">ftruncate</A>
2681         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2682         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ftruncate.c">ftruncate.c</A>
2683         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ftruncate.m4">ftruncate.m4</A><BR>gl_FUNC_FTRUNCATE
2684         <TD ALIGN=LEFT VALIGN=TOP>---
2685       </TR>
2686       <TR>
2687         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getaddrinfo"></A><A HREF="modules/getaddrinfo">getaddrinfo</A>
2688         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getaddrinfo.h">getaddrinfo.h</A>"
2689         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getaddrinfo.c">getaddrinfo.c</A><BR><A HREF="lib/gai_strerror.c">gai_strerror.c</A>
2690         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getaddrinfo.m4">getaddrinfo.m4</A><BR>gl_GETADDRINFO
2691         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>snprintf<BR>socklen<BR>stdbool<BR>strdup<BR>sys_socket<BR>inet_ntop
2692       </TR>
2693       <TR>
2694         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getcwd"></A><A HREF="modules/getcwd">getcwd</A>
2695         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getcwd.h">getcwd.h</A>"
2696         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getcwd.c">getcwd.c</A>
2697         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/getcwd-abort-bug.m4">getcwd-abort-bug.m4</A><BR><A HREF="m4/getcwd-path-max.m4">getcwd-path-max.m4</A><BR><A HREF="m4/getcwd.m4">getcwd.m4</A><BR>gl_FUNC_GETCWD
2698         <TD ALIGN=LEFT VALIGN=TOP>mempcpy<BR>extensions<BR>stdbool
2699       </TR>
2700       <TR>
2701         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getgroups"></A><A HREF="modules/getgroups">getgroups</A>
2702         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2703         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getgroups.c">getgroups.c</A>
2704         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getgroups.m4">getgroups.m4</A><BR>gl_FUNC_GETGROUPS
2705         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2706       </TR>
2707       <TR>
2708         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethostname"></A><A HREF="modules/gethostname">gethostname</A>
2709         <TD ALIGN=LEFT VALIGN=TOP>---
2710         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethostname.c">gethostname.c</A>
2711         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethostname.m4">gethostname.m4</A><BR>gl_FUNC_GETHOSTNAME
2712         <TD ALIGN=LEFT VALIGN=TOP>---
2713       </TR>
2714       <TR>
2715         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getlogin_r"></A><A HREF="modules/getlogin_r">getlogin_r</A>
2716         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getlogin_r.h">getlogin_r.h</A>"
2717         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getlogin_r.c">getlogin_r.c</A>
2718         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getlogin_r.m4">getlogin_r.m4</A><BR>gl_GETLOGIN_R
2719         <TD ALIGN=LEFT VALIGN=TOP>unistd
2720       </TR>
2721       <TR>
2722         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getsubopt"></A><A HREF="modules/getsubopt">getsubopt</A>
2723         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getsubopt.h">getsubopt.h</A>"
2724         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getsubopt.c">getsubopt.c</A>
2725         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getsubopt.m4">getsubopt.m4</A><BR>gl_FUNC_GETSUBOPT
2726         <TD ALIGN=LEFT VALIGN=TOP>strchrnul
2727       </TR>
2728       <TR>
2729         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettimeofday"></A><A HREF="modules/gettimeofday">gettimeofday</A>
2730         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/time.h.html">sys/time.h</A>&gt;
2731         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettimeofday.c">gettimeofday.c</A>
2732         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gettimeofday.m4">gettimeofday.m4</A><BR>AC_FUNC_GETTIMEOFDAY_CLOBBER
2733         <TD ALIGN=LEFT VALIGN=TOP>---
2734       </TR>
2735       <TR>
2736         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inet_ntop"></A><A HREF="modules/inet_ntop">inet_ntop</A>
2737         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inet_ntop.h">inet_ntop.h</A>"
2738         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inet_ntop.c">inet_ntop.c</A>
2739         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inet_ntop.m4">inet_ntop.m4</A><BR>gl_INET_NTOP
2740         <TD ALIGN=LEFT VALIGN=TOP>socklen<BR>sys_socket<BR>arpa_inet<BR>netinet_in
2741       </TR>
2742       <TR>
2743         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inet_pton"></A><A HREF="modules/inet_pton">inet_pton</A>
2744         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inet_pton.h">inet_pton.h</A>"
2745         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inet_pton.c">inet_pton.c</A>
2746         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inet_pton.m4">inet_pton.m4</A><BR>gl_INET_PTON
2747         <TD ALIGN=LEFT VALIGN=TOP>socklen<BR>sys_socket<BR>arpa_inet<BR>netinet_in
2748       </TR>
2749       <TR>
2750         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir"></A><A HREF="modules/mkdir">mkdir</A>
2751         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/stat.h.html">sys/stat.h</A>&gt;
2752         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdir.c">mkdir.c</A>
2753         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mkdir-slash.m4">mkdir-slash.m4</A><BR>gl_FUNC_MKDIR_TRAILING_SLASH
2754         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2755       </TR>
2756       <TR>
2757         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkstemp"></A><A HREF="modules/mkstemp">mkstemp</A>
2758         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2759         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkstemp.c">mkstemp.c</A><BR><A HREF="lib/tempname.c">tempname.c</A>
2760         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkstemp.m4">mkstemp.m4</A><BR>gl_FUNC_MKSTEMP
2761         <TD ALIGN=LEFT VALIGN=TOP>stat-macros<BR>sys_stat
2762       </TR>
2763       <TR>
2764         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdtemp"></A><A HREF="modules/mkdtemp">mkdtemp</A>
2765         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdtemp.h">mkdtemp.h</A>"
2766         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdtemp.c">mkdtemp.c</A>
2767         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkdtemp.m4">mkdtemp.m4</A><BR>gt_FUNC_MKDTEMP
2768         <TD ALIGN=LEFT VALIGN=TOP>unistd
2769       </TR>
2770       <TR>
2771         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=poll"></A><A HREF="modules/poll">poll</A>
2772         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/poll.h.html">poll.h</A>&gt;
2773         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/poll.c">poll.c</A><BR><A HREF="lib/poll_.h">poll_.h</A>
2774         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/poll.m4">poll.m4</A><BR>gl_FUNC_POLL
2775         <TD ALIGN=LEFT VALIGN=TOP>---
2776       </TR>
2777       <TR>
2778         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readlink"></A><A HREF="modules/readlink">readlink</A>
2779         <TD ALIGN=LEFT VALIGN=TOP>---
2780         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readlink.c">readlink.c</A>
2781         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readlink.m4">readlink.m4</A><BR>gl_FUNC_READLINK
2782         <TD ALIGN=LEFT VALIGN=TOP>---
2783       </TR>
2784       <TR>
2785         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lstat"></A><A HREF="modules/lstat">lstat</A>
2786         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lstat.h">lstat.h</A>"
2787         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lstat.c">lstat.c</A>
2788         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lstat.m4">lstat.m4</A><BR>gl_FUNC_LSTAT
2789         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2790       </TR>
2791       <TR>
2792         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=time_r"></A><A HREF="modules/time_r">time_r</A>
2793         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/time_r.h">time_r.h</A>"
2794         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/time_r.c">time_r.c</A>
2795         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/time_r.m4">time_r.m4</A><BR>gl_TIME_R
2796         <TD ALIGN=LEFT VALIGN=TOP>extensions
2797       </TR>
2798       <TR>
2799         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timespec"></A><A HREF="modules/timespec">timespec</A>
2800         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
2801         <TD ALIGN=LEFT VALIGN=TOP>---
2802         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/timespec.m4">timespec.m4</A><BR>gl_TIMESPEC
2803         <TD ALIGN=LEFT VALIGN=TOP>extensions
2804       </TR>
2805       <TR>
2806         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=nanosleep"></A><A HREF="modules/nanosleep">nanosleep</A>
2807         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
2808         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/nanosleep.c">nanosleep.c</A>
2809         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/nanosleep.m4">nanosleep.m4</A><BR>gl_FUNC_NANOSLEEP
2810         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool<BR>extensions
2811       </TR>
2812       <TR>
2813         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=regex"></A><A HREF="modules/regex">regex</A>
2814         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/regex.h">regex.h</A>"
2815         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/regex.c">regex.c</A><BR><A HREF="lib/regex_internal.c">regex_internal.c</A><BR><A HREF="lib/regex_internal.h">regex_internal.h</A><BR><A HREF="lib/regexec.c">regexec.c</A><BR><A HREF="lib/regcomp.c">regcomp.c</A>
2816         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/regex.m4">regex.m4</A><BR>gl_REGEX
2817         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>gettext-h<BR>malloc<BR>strcase<BR>ssize_t
2818       </TR>
2819       <TR>
2820         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rename"></A><A HREF="modules/rename">rename</A>
2821         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdio.h.html">stdio.h</A>&gt;
2822         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rename.c">rename.c</A>
2823         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rename.m4">rename.m4</A><BR>vb_FUNC_RENAME
2824         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2825       </TR>
2826       <TR>
2827         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rmdir"></A><A HREF="modules/rmdir">rmdir</A>
2828         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2829         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rmdir.c">rmdir.c</A>
2830         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rmdir.m4">rmdir.m4</A><BR>gl_FUNC_RMDIR
2831         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2832       </TR>
2833       <TR>
2834         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ssize_t"></A><A HREF="modules/ssize_t">ssize_t</A>
2835         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;
2836         <TD ALIGN=LEFT VALIGN=TOP>---
2837         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ssize_t.m4">ssize_t.m4</A><BR>gt_TYPE_SSIZE_T
2838         <TD ALIGN=LEFT VALIGN=TOP>---
2839       </TR>
2840       <TR>
2841         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtok_r"></A><A HREF="modules/strtok_r">strtok_r</A>
2842         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strtok_r.h">strtok_r.h</A>"
2843         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtok_r.c">strtok_r.c</A>
2844         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtok_r.m4">strtok_r.m4</A><BR>gl_FUNC_STRTOK_R
2845         <TD ALIGN=LEFT VALIGN=TOP>---
2846       </TR>
2847       <TR>
2848         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sys_stat"></A><A HREF="modules/sys_stat">sys_stat</A>
2849         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/stat.h.html">sys/stat.h</A>&gt;
2850         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stat_.h">stat_.h</A>
2851         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/absolute-header.m4">absolute-header.m4</A><BR><A HREF="m4/sys_stat_h.m4">sys_stat_h.m4</A><BR>gl_HEADER_SYS_STAT_H
2852         <TD ALIGN=LEFT VALIGN=TOP>---
2853       </TR>
2854       <TR>
2855         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unistd"></A><A HREF="modules/unistd">unistd</A>
2856         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2857         <TD ALIGN=LEFT VALIGN=TOP>---
2858         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unistd_h.m4">unistd_h.m4</A><BR>gl_HEADER_UNISTD
2859         <TD ALIGN=LEFT VALIGN=TOP>---
2860       </TR>
2861       <TR>
2862         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utime"></A><A HREF="modules/utime">utime</A>
2863         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_UTIME_H<BR># include &lt;utime.h&gt;<BR>#else<BR># include &lt;sys/utime.h&gt;<BR>#endif
2864         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utime.c">utime.c</A>
2865         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimbuf.m4">utimbuf.m4</A><BR><A HREF="m4/utime.m4">utime.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR><A HREF="m4/utimes-null.m4">utimes-null.m4</A><BR>gl_FUNC_UTIME
2866         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
2867       </TR>
2868       <TR>
2869         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=wcwidth"></A><A HREF="modules/wcwidth">wcwidth</A>
2870         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/wcwidth.h">wcwidth.h</A>"
2871         <TD ALIGN=LEFT VALIGN=TOP>---
2872         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/wcwidth.m4">wcwidth.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR>gl_FUNC_WCWIDTH
2873         <TD ALIGN=LEFT VALIGN=TOP>---
2874       </TR>
2875     </TABLE>
2876     <H2><A NAME="posix_enh">Enhancements for POSIX:2001 functions</A></H2>
2877     <TABLE>
2878       <TR>
2879         <TH ALIGN=LEFT>modules/
2880         <TH ALIGN=LEFT>lib/
2881         <TH ALIGN=LEFT>lib/
2882         <TH ALIGN=LEFT>m4/
2883         <TH ALIGN=LEFT>&nbsp;
2884       </TR>
2885       <TR>
2886         <TH ALIGN=LEFT>Module
2887         <TH ALIGN=LEFT>Header
2888         <TH ALIGN=LEFT>Implementation
2889         <TH ALIGN=LEFT>Autoconf macro
2890         <TH ALIGN=LEFT>Depends on
2891       </TR>
2892       <TR>
2893         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirname"></A><A HREF="modules/dirname">dirname</A>
2894         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirname.h">dirname.h</A>"
2895         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirname.c">dirname.c</A><BR><A HREF="lib/basename.c">basename.c</A><BR><A HREF="lib/stripslash.c">stripslash.c</A>
2896         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/dirname.m4">dirname.m4</A><BR><A HREF="m4/double-slash-root.m4">double-slash-root.m4</A><BR>gl_DIRNAME
2897         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc<BR>xstrndup
2898       </TR>
2899       <TR>
2900         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getopt"></A><A HREF="modules/getopt">getopt</A>
2901         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;getopt.h&gt;
2902         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getopt_.h">getopt_.h</A><BR><A HREF="lib/getopt.c">getopt.c</A><BR><A HREF="lib/getopt1.c">getopt1.c</A><BR><A HREF="lib/getopt_int.h">getopt_int.h</A>
2903         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getopt.m4">getopt.m4</A><BR>gl_GETOPT
2904         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>unistd
2905       </TR>
2906       <TR>
2907         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unistd-safer"></A><A HREF="modules/unistd-safer">unistd-safer</A>
2908         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unistd-safer.h">unistd-safer.h</A>"
2909         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unistd--.h">unistd--.h</A><BR><A HREF="lib/dup-safer.c">dup-safer.c</A><BR><A HREF="lib/fd-safer.c">fd-safer.c</A><BR><A HREF="lib/pipe-safer.c">pipe-safer.c</A>
2910         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unistd-safer.m4">unistd-safer.m4</A><BR>gl_UNISTD_SAFER
2911         <TD ALIGN=LEFT VALIGN=TOP>---
2912       </TR>
2913       <TR>
2914         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch"></A><A HREF="modules/fnmatch">fnmatch</A>
2915         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/fnmatch.h.html">fnmatch.h</A>&gt;
2916         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fnmatch_.h">fnmatch_.h</A><BR><A HREF="lib/fnmatch.c">fnmatch.c</A><BR><A HREF="lib/fnmatch_loop.c">fnmatch_loop.c</A>
2917         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/fnmatch.m4">fnmatch.m4</A><BR># No macro. You should also use one of fnmatch-posix or fnmatch-gnu.
2918         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>stdbool
2919       </TR>
2920       <TR>
2921         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-posix"></A><A HREF="modules/fnmatch-posix">fnmatch-posix</A>
2922         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2923         <TD ALIGN=LEFT VALIGN=TOP>---
2924         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_POSIX
2925         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2926       </TR>
2927       <TR>
2928         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-gnu"></A><A HREF="modules/fnmatch-gnu">fnmatch-gnu</A>
2929         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2930         <TD ALIGN=LEFT VALIGN=TOP>---
2931         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_GNU
2932         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2933       </TR>
2934       <TR>
2935         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=glob"></A><A HREF="modules/glob">glob</A>
2936         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/glob.h.html">glob.h</A>&gt;
2937         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/glob_.h">glob_.h</A><BR><A HREF="lib/glob-libc.h">glob-libc.h</A><BR><A HREF="lib/glob.c">glob.c</A>
2938         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-type.m4">d-type.m4</A><BR><A HREF="m4/glob.m4">glob.m4</A><BR>gl_GLOB
2939         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>fnmatch<BR>getlogin_r<BR>mempcpy<BR>stat-macros<BR>stdbool<BR>strdup<BR>unistd
2940       </TR>
2941       <TR>
2942         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exclude"></A><A HREF="modules/exclude">exclude</A>
2943         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exclude.h">exclude.h</A>"
2944         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exclude.c">exclude.c</A>
2945         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exclude.m4">exclude.m4</A><BR>gl_EXCLUDE
2946         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>strcase<BR>fnmatch-gnu<BR>stdbool<BR>verify
2947       </TR>
2948     </TABLE>
2949     <H2><A NAME="posix_ext">Extra functions based on POSIX:2001</A></H2>
2950     <H3><A NAME="posix_ext_conv">Numeric conversion functions</A></H3>
2951     <TABLE>
2952       <TR>
2953         <TH ALIGN=LEFT>modules/
2954         <TH ALIGN=LEFT>lib/
2955         <TH ALIGN=LEFT>lib/
2956         <TH ALIGN=LEFT>m4/
2957         <TH ALIGN=LEFT>&nbsp;
2958       </TR>
2959       <TR>
2960         <TH ALIGN=LEFT>Module
2961         <TH ALIGN=LEFT>Header
2962         <TH ALIGN=LEFT>Implementation
2963         <TH ALIGN=LEFT>Autoconf macro
2964         <TH ALIGN=LEFT>Depends on
2965       </TR>
2966       <TR>
2967         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=human"></A><A HREF="modules/human">human</A>
2968         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/human.h">human.h</A>"
2969         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/human.c">human.c</A>
2970         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/human.m4">human.m4</A><BR>gl_HUMAN
2971         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>argmatch<BR>error<BR>intprops<BR>xstrtoumax<BR>stdbool
2972       </TR>
2973     </TABLE>
2974     <H3><A NAME="posix_ext_filesys">File system functions</A></H3>
2975     <TABLE>
2976       <TR>
2977         <TH ALIGN=LEFT>modules/
2978         <TH ALIGN=LEFT>lib/
2979         <TH ALIGN=LEFT>lib/
2980         <TH ALIGN=LEFT>m4/
2981         <TH ALIGN=LEFT>&nbsp;
2982       </TR>
2983       <TR>
2984         <TH ALIGN=LEFT>Module
2985         <TH ALIGN=LEFT>Header
2986         <TH ALIGN=LEFT>Implementation
2987         <TH ALIGN=LEFT>Autoconf macro
2988         <TH ALIGN=LEFT>Depends on
2989       </TR>
2990       <TR>
2991         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=acl"></A><A HREF="modules/acl">acl</A>
2992         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/acl.h">acl.h</A>"
2993         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acl.c">acl.c</A>
2994         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/acl.m4">acl.m4</A><BR>AC_FUNC_ACL
2995         <TD ALIGN=LEFT VALIGN=TOP>---
2996       </TR>
2997       <TR>
2998         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=backupfile"></A><A HREF="modules/backupfile">backupfile</A>
2999         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/backupfile.h">backupfile.h</A>"
3000         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/backupfile.c">backupfile.c</A>
3001         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/backupfile.m4">backupfile.m4</A><BR>gl_BACKUPFILE
3002         <TD ALIGN=LEFT VALIGN=TOP>argmatch<BR>dirname<BR>stdbool
3003       </TR>
3004       <TR>
3005         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canonicalize"></A><A HREF="modules/canonicalize">canonicalize</A>
3006         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/canonicalize.h">canonicalize.h</A>"
3007         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canonicalize.c">canonicalize.c</A><BR><A HREF="lib/pathmax.h">pathmax.h</A>
3008         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canonicalize.m4">canonicalize.m4</A><BR>AC_FUNC_CANONICALIZE_FILE_NAME
3009         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>filenamecat<BR>stat-macros<BR>xalloc<BR>xgetcwd<BR>xreadlink
3010       </TR>
3011       <TR>
3012         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=clean-temp"></A><A HREF="modules/clean-temp">clean-temp</A>
3013         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/clean-temp.h">clean-temp.h</A>"
3014         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/clean-temp.c">clean-temp.c</A>
3015         <TD ALIGN=LEFT VALIGN=TOP>---
3016         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>unistd<BR>error<BR>fatal-signal<BR>pathmax<BR>tmpdir<BR>mkdtemp<BR>xalloc<BR>xallocsa<BR>linkedhash-list<BR>gettext-h
3017       </TR>
3018       <TR>
3019         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=copy-file"></A><A HREF="modules/copy-file">copy-file</A>
3020         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/copy-file.h">copy-file.h</A>"
3021         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/copy-file.c">copy-file.c</A>
3022         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/copy-file.m4">copy-file.m4</A><BR>gl_COPY_FILE
3023         <TD ALIGN=LEFT VALIGN=TOP>error<BR>safe-read<BR>full-write<BR>binary-io<BR>exit<BR>gettext-h<BR>unistd
3024       </TR>
3025       <TR>
3026         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cycle-check"></A><A HREF="modules/cycle-check">cycle-check</A>
3027         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cycle-check.h">cycle-check.h</A>"
3028         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cycle-check.c">cycle-check.c</A>
3029         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/cycle-check.m4">cycle-check.m4</A><BR>gl_CYCLE_CHECK
3030         <TD ALIGN=LEFT VALIGN=TOP>dev-ino<BR>same-inode<BR>stdbool
3031       </TR>
3032       <TR>
3033         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fsusage"></A><A HREF="modules/fsusage">fsusage</A>
3034         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fsusage.h">fsusage.h</A>"
3035         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fsusage.c">fsusage.c</A>
3036         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/fsusage.m4">fsusage.m4</A><BR>gl_FSUSAGE
3037         <TD ALIGN=LEFT VALIGN=TOP>full-read<BR>stdbool
3038       </TR>
3039       <TR>
3040         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirfd"></A><A HREF="modules/dirfd">dirfd</A>
3041         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirfd.h">dirfd.h</A>"
3042         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirfd.c">dirfd.c</A>
3043         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dirfd.m4">dirfd.m4</A><BR>gl_FUNC_DIRFD
3044         <TD ALIGN=LEFT VALIGN=TOP>---
3045       </TR>
3046       <TR>
3047         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=euidaccess"></A><A HREF="modules/euidaccess">euidaccess</A>
3048         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/euidaccess.h">euidaccess.h</A>"
3049         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/euidaccess.c">euidaccess.c</A>
3050         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/euidaccess.m4">euidaccess.m4</A><BR>gl_FUNC_EUIDACCESS
3051         <TD ALIGN=LEFT VALIGN=TOP>group-member<BR>stat-macros
3052       </TR>
3053       <TR>
3054         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=file-type"></A><A HREF="modules/file-type">file-type</A>
3055         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/file-type.h">file-type.h</A>"
3056         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/file-type.c">file-type.c</A>
3057         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/file-type.m4">file-type.m4</A><BR>gl_FILE_TYPE
3058         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>stat-macros
3059       </TR>
3060       <TR>
3061         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fileblocks"></A><A HREF="modules/fileblocks">fileblocks</A>
3062         <TD ALIGN=LEFT VALIGN=TOP>---
3063         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fileblocks.c">fileblocks.c</A>
3064         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fileblocks.m4">fileblocks.m4</A><BR>gl_FILEBLOCKS
3065         <TD ALIGN=LEFT VALIGN=TOP>---
3066       </TR>
3067       <TR>
3068         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filemode"></A><A HREF="modules/filemode">filemode</A>
3069         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filemode.h">filemode.h</A>"
3070         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filemode.c">filemode.c</A>
3071         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/filemode.m4">filemode.m4</A><BR>gl_FILEMODE
3072         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
3073       </TR>
3074       <TR>
3075         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filenamecat"></A><A HREF="modules/filenamecat">filenamecat</A>
3076         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filenamecat.h">filenamecat.h</A>"
3077         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filenamecat.c">filenamecat.c</A>
3078         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/filenamecat.m4">filenamecat.m4</A><BR>gl_FILE_NAME_CONCAT
3079         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
3080       </TR>
3081       <TR>
3082         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts"></A><A HREF="modules/fts">fts</A>
3083         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
3084         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A><BR><A HREF="lib/fts-cycle.c">fts-cycle.c</A>
3085         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS
3086         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>dirfd<BR>hash<BR>lstat<BR>openat<BR>stdbool<BR>fcntl-safer<BR>unistd-safer
3087       </TR>
3088       <TR>
3089         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts-lgpl"></A><A HREF="modules/fts-lgpl">fts-lgpl</A>
3090         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
3091         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A>
3092         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS_LGPL
3093         <TD ALIGN=LEFT VALIGN=TOP>dirfd<BR>stdbool
3094       </TR>
3095       <TR>
3096         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=isdir"></A><A HREF="modules/isdir">isdir</A>
3097         <TD ALIGN=LEFT VALIGN=TOP>---
3098         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/isdir.c">isdir.c</A>
3099         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/isdir.m4">isdir.m4</A><BR>gl_ISDIR
3100         <TD ALIGN=LEFT VALIGN=TOP>---
3101       </TR>
3102       <TR>
3103         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lchown"></A><A HREF="modules/lchown">lchown</A>
3104         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lchown.h">lchown.h</A>"
3105         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lchown.c">lchown.c</A>
3106         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lchown.m4">lchown.m4</A><BR>gl_FUNC_LCHOWN
3107         <TD ALIGN=LEFT VALIGN=TOP>chown<BR>stat-macros
3108       </TR>
3109       <TR>
3110         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkancesdirs"></A><A HREF="modules/mkancesdirs">mkancesdirs</A>
3111         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkancesdirs.h">mkancesdirs.h</A>"
3112         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkancesdirs.c">mkancesdirs.c</A>
3113         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mkancesdirs.m4">mkancesdirs.m4</A><BR>gl_MKANCESDIRS
3114         <TD ALIGN=LEFT VALIGN=TOP>dirname<BR>stat-macros
3115       </TR>
3116       <TR>
3117         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir-p"></A><A HREF="modules/mkdir-p">mkdir-p</A>
3118         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdir-p.h">mkdir-p.h</A>"
3119         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirchownmod.c">dirchownmod.c</A><BR><A HREF="lib/dirchownmod.h">dirchownmod.h</A><BR><A HREF="lib/lchmod.h">lchmod.h</A><BR><A HREF="lib/mkdir-p.c">mkdir-p.c</A>
3120         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lchmod.m4">lchmod.m4</A><BR><A HREF="m4/mkdir-p.m4">mkdir-p.m4</A><BR>gl_MKDIR_PARENTS
3121         <TD ALIGN=LEFT VALIGN=TOP>error<BR>gettext-h<BR>lchown<BR>mkancesdirs<BR>quote<BR>stat-macros<BR>stdbool
3122       </TR>
3123       <TR>
3124         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=modechange"></A><A HREF="modules/modechange">modechange</A>
3125         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/modechange.h">modechange.h</A>"
3126         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/modechange.c">modechange.c</A>
3127         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/modechange.m4">modechange.m4</A><BR>gl_MODECHANGE
3128         <TD ALIGN=LEFT VALIGN=TOP>stat-macros<BR>xalloc
3129       </TR>
3130       <TR>
3131         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mountlist"></A><A HREF="modules/mountlist">mountlist</A>
3132         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mountlist.h">mountlist.h</A>"
3133         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mountlist.c">mountlist.c</A>
3134         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ls-mntd-fs.m4">ls-mntd-fs.m4</A><BR><A HREF="m4/fstypename.m4">fstypename.m4</A><BR><A HREF="m4/mountlist.m4">mountlist.m4</A><BR>gl_MOUNTLIST
3135         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
3136       </TR>
3137       <TR>
3138         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathname"></A><A HREF="modules/pathname">pathname</A>
3139         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathname.h">pathname.h</A>"
3140         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/concatpath.c">concatpath.c</A>
3141         <TD ALIGN=LEFT VALIGN=TOP>---
3142         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stpcpy
3143       </TR>
3144       <TR>
3145         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathmax"></A><A HREF="modules/pathmax">pathmax</A>
3146         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathmax.h">pathmax.h</A>"
3147         <TD ALIGN=LEFT VALIGN=TOP>---
3148         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pathmax.m4">pathmax.m4</A><BR>gl_PATHMAX
3149         <TD ALIGN=LEFT VALIGN=TOP>---
3150       </TR>
3151       <TR>
3152         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=same"></A><A HREF="modules/same">same</A>
3153         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/same.h">same.h</A>"
3154         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/same.c">same.c</A>
3155         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/same.m4">same.m4</A><BR>gl_SAME
3156         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>dirname<BR>same-inode<BR>stdbool
3157       </TR>
3158       <TR>
3159         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=save-cwd"></A><A HREF="modules/save-cwd">save-cwd</A>
3160         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/save-cwd.h">save-cwd.h</A>"
3161         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/save-cwd.c">save-cwd.c</A>
3162         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/save-cwd.m4">save-cwd.m4</A><BR>gl_SAVE_CWD
3163         <TD ALIGN=LEFT VALIGN=TOP>chdir-long<BR>fcntl-safer<BR>xgetcwd<BR>stdbool
3164       </TR>
3165       <TR>
3166         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=savedir"></A><A HREF="modules/savedir">savedir</A>
3167         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/savedir.h">savedir.h</A>"
3168         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/savedir.c">savedir.c</A>
3169         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/savedir.m4">savedir.m4</A><BR>gl_SAVEDIR
3170         <TD ALIGN=LEFT VALIGN=TOP>openat<BR>xalloc
3171       </TR>
3172       <TR>
3173         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stat-time"></A><A HREF="modules/stat-time">stat-time</A>
3174         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stat-time.h">stat-time.h</A>"
3175         <TD ALIGN=LEFT VALIGN=TOP>---
3176         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stat-time.m4">stat-time.m4</A><BR>gl_STAT_TIME
3177         <TD ALIGN=LEFT VALIGN=TOP>timespec
3178       </TR>
3179       <TR>
3180         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tmpdir"></A><A HREF="modules/tmpdir">tmpdir</A>
3181         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/tmpdir.h">tmpdir.h</A>"
3182         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/tmpdir.c">tmpdir.c</A>
3183         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tmpdir.m4">tmpdir.m4</A><BR>gt_TMPDIR
3184         <TD ALIGN=LEFT VALIGN=TOP>stdbool
3185       </TR>
3186       <TR>
3187         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlinkdir"></A><A HREF="modules/unlinkdir">unlinkdir</A>
3188         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlinkdir.h">unlinkdir.h</A>"
3189         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unlinkdir.c">unlinkdir.c</A>
3190         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlinkdir.m4">unlinkdir.m4</A><BR>gl_UNLINKDIR
3191         <TD ALIGN=LEFT VALIGN=TOP>stdbool
3192       </TR>
3193       <TR>
3194         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimecmp"></A><A HREF="modules/utimecmp">utimecmp</A>
3195         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimecmp.h">utimecmp.h</A>"
3196         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utimecmp.c">utimecmp.c</A>
3197         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimecmp.m4">utimecmp.m4</A><BR>gl_UTIMECMP
3198         <TD ALIGN=LEFT VALIGN=TOP>hash<BR>stat-time<BR>timespec<BR>utimens<BR>xalloc<BR>intprops<BR>stdbool<BR>verify
3199       </TR>
3200       <TR>
3201         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimens"></A><A HREF="modules/utimens">utimens</A>
3202         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimens.h">utimens.h</A>"
3203         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utimens.c">utimens.c</A>
3204         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimbuf.m4">utimbuf.m4</A><BR><A HREF="m4/utimens.m4">utimens.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR>gl_UTIMENS
3205         <TD ALIGN=LEFT VALIGN=TOP>timespec
3206       </TR>
3207       <TR>
3208         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetcwd"></A><A HREF="modules/xgetcwd">xgetcwd</A>
3209         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetcwd.h">xgetcwd.h</A>"
3210         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetcwd.c">xgetcwd.c</A>
3211         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xgetcwd.m4">xgetcwd.m4</A><BR>gl_XGETCWD
3212         <TD ALIGN=LEFT VALIGN=TOP>getcwd<BR>xalloc<BR>stdbool
3213       </TR>
3214       <TR>
3215         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xreadlink"></A><A HREF="modules/xreadlink">xreadlink</A>
3216         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xreadlink.h">xreadlink.h</A>"
3217         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xreadlink.c">xreadlink.c</A>
3218         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xreadlink.m4">xreadlink.m4</A><BR>gl_XREADLINK
3219         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>readlink<BR>ssize_t
3220       </TR>
3221     </TABLE>
3222     <H3><A NAME="posix_ext_filedesc">File descriptor based Input/Output</A></H3>
3223     <TABLE>
3224       <TR>
3225         <TH ALIGN=LEFT>modules/
3226         <TH ALIGN=LEFT>lib/
3227         <TH ALIGN=LEFT>lib/
3228         <TH ALIGN=LEFT>m4/
3229         <TH ALIGN=LEFT>&nbsp;
3230       </TR>
3231       <TR>
3232         <TH ALIGN=LEFT>Module
3233         <TH ALIGN=LEFT>Header
3234         <TH ALIGN=LEFT>Implementation
3235         <TH ALIGN=LEFT>Autoconf macro
3236         <TH ALIGN=LEFT>Depends on
3237       </TR>
3238       <TR>
3239         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fcntl-safer"></A><A HREF="modules/fcntl-safer">fcntl-safer</A>
3240         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fcntl-safer.h">fcntl-safer.h</A>"
3241         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fcntl--.h">fcntl--.h</A><BR><A HREF="lib/creat-safer.c">creat-safer.c</A><BR><A HREF="lib/open-safer.c">open-safer.c</A>
3242         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fcntl-safer.m4">fcntl-safer.m4</A><BR>gl_FCNTL_SAFER
3243         <TD ALIGN=LEFT VALIGN=TOP>unistd-safer
3244       </TR>
3245       <TR>
3246         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-read"></A><A HREF="modules/safe-read">safe-read</A>
3247         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-read.h">safe-read.h</A>"
3248         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-read.c">safe-read.c</A>
3249         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-read.m4">safe-read.m4</A><BR>gl_SAFE_READ
3250         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
3251       </TR>
3252       <TR>
3253         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-write"></A><A HREF="modules/safe-write">safe-write</A>
3254         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-write.h">safe-write.h</A>"
3255         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-write.c">safe-write.c</A>
3256         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-write.m4">safe-write.m4</A><BR>gl_SAFE_WRITE
3257         <TD ALIGN=LEFT VALIGN=TOP>safe-read
3258       </TR>
3259       <TR>
3260         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-read"></A><A HREF="modules/full-read">full-read</A>
3261         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-read.h">full-read.h</A>"
3262         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-read.c">full-read.c</A>
3263         <TD ALIGN=LEFT VALIGN=TOP>---
3264         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
3265       </TR>
3266       <TR>
3267         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-write"></A><A HREF="modules/full-write">full-write</A>
3268         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-write.h">full-write.h</A>"
3269         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-write.c">full-write.c</A>
3270         <TD ALIGN=LEFT VALIGN=TOP>---
3271         <TD ALIGN=LEFT VALIGN=TOP>safe-write
3272       </TR>
3273       <TR>
3274         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=binary-io"></A><A HREF="modules/binary-io">binary-io</A>
3275         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/binary-io.h">binary-io.h</A>"
3276         <TD ALIGN=LEFT VALIGN=TOP>---
3277         <TD ALIGN=LEFT VALIGN=TOP>---
3278         <TD ALIGN=LEFT VALIGN=TOP>---
3279       </TR>
3280     </TABLE>
3281     <H3><A NAME="posix_ext_filestream">File stream based Input/Output</A></H3>
3282     <TABLE>
3283       <TR>
3284         <TH ALIGN=LEFT>modules/
3285         <TH ALIGN=LEFT>lib/
3286         <TH ALIGN=LEFT>lib/
3287         <TH ALIGN=LEFT>m4/
3288         <TH ALIGN=LEFT>&nbsp;
3289       </TR>
3290       <TR>
3291         <TH ALIGN=LEFT>Module
3292         <TH ALIGN=LEFT>Header
3293         <TH ALIGN=LEFT>Implementation
3294         <TH ALIGN=LEFT>Autoconf macro
3295         <TH ALIGN=LEFT>Depends on
3296       </TR>
3297       <TR>
3298         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=close-stream"></A><A HREF="modules/close-stream">close-stream</A>
3299         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/close-stream.h">close-stream.h</A>"
3300         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/close-stream.c">close-stream.c</A>
3301         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/close-stream.m4">close-stream.m4</A><BR>gl_CLOSE_STREAM
3302         <TD ALIGN=LEFT VALIGN=TOP>fpending<BR>stdbool
3303       </TR>
3304       <TR>
3305         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=closeout"></A><A HREF="modules/closeout">closeout</A>
3306         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/closeout.h">closeout.h</A>"
3307         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/closeout.c">closeout.c</A>
3308         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/closeout.m4">closeout.m4</A><BR>gl_CLOSEOUT
3309         <TD ALIGN=LEFT VALIGN=TOP>close-stream<BR>gettext-h<BR>error<BR>quotearg<BR>exitfail
3310       </TR>
3311       <TR>
3312         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fopen-safer"></A><A HREF="modules/fopen-safer">fopen-safer</A>
3313         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stdio-safer.h">stdio-safer.h</A>"
3314         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdio--.h">stdio--.h</A><BR><A HREF="lib/fopen-safer.c">fopen-safer.c</A>
3315         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdio-safer.m4">stdio-safer.m4</A><BR>gl_FOPEN_SAFER
3316         <TD ALIGN=LEFT VALIGN=TOP>unistd-safer
3317       </TR>
3318       <TR>
3319         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fpending"></A><A HREF="modules/fpending">fpending</A>
3320         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/__fpending.h">__fpending.h</A>"
3321         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/__fpending.c">__fpending.c</A>
3322         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fpending.m4">fpending.m4</A><BR>gl_FUNC_FPENDING
3323         <TD ALIGN=LEFT VALIGN=TOP>---
3324       </TR>
3325       <TR>
3326         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass"></A><A HREF="modules/getpass">getpass</A>
3327         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
3328         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
3329         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS
3330         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
3331       </TR>
3332       <TR>
3333         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass-gnu"></A><A HREF="modules/getpass-gnu">getpass-gnu</A>
3334         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
3335         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
3336         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS_GNU
3337         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
3338       </TR>
3339       <TR>
3340         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdlib-safer"></A><A HREF="modules/stdlib-safer">stdlib-safer</A>
3341         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stdlib-safer.h">stdlib-safer.h</A>"
3342         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdlib--.h">stdlib--.h</A><BR><A HREF="lib/mkstemp-safer.c">mkstemp-safer.c</A>
3343         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdlib-safer.m4">stdlib-safer.m4</A><BR>gl_STDLIB_SAFER
3344         <TD ALIGN=LEFT VALIGN=TOP>mkstemp<BR>unistd-safer
3345       </TR>
3346       <TR>
3347         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tmpfile-safer"></A><A HREF="modules/tmpfile-safer">tmpfile-safer</A>
3348         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stdio-safer.h">stdio-safer.h</A>"
3349         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdio--.h">stdio--.h</A><BR><A HREF="lib/tmpfile-safer.c">tmpfile-safer.c</A>
3350         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdio-safer.m4">stdio-safer.m4</A><BR>gl_TMPFILE_SAFER
3351         <TD ALIGN=LEFT VALIGN=TOP>binary-io<BR>unistd-safer
3352       </TR>
3353     </TABLE>
3354     <H3><A NAME="posix_ext_uidgid">Users and groups</A></H3>
3355     <TABLE>
3356       <TR>
3357         <TH ALIGN=LEFT>modules/
3358         <TH ALIGN=LEFT>lib/
3359         <TH ALIGN=LEFT>lib/
3360         <TH ALIGN=LEFT>m4/
3361         <TH ALIGN=LEFT>&nbsp;
3362       </TR>
3363       <TR>
3364         <TH ALIGN=LEFT>Module
3365         <TH ALIGN=LEFT>Header
3366         <TH ALIGN=LEFT>Implementation
3367         <TH ALIGN=LEFT>Autoconf macro
3368         <TH ALIGN=LEFT>Depends on
3369       </TR>
3370       <TR>
3371         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getugroups"></A><A HREF="modules/getugroups">getugroups</A>
3372         <TD ALIGN=LEFT VALIGN=TOP>---
3373         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getugroups.c">getugroups.c</A>
3374         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getugroups.m4">getugroups.m4</A><BR>gl_GETUGROUPS
3375         <TD ALIGN=LEFT VALIGN=TOP>---
3376       </TR>
3377       <TR>
3378         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=group-member"></A><A HREF="modules/group-member">group-member</A>
3379         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/group-member.h">group-member.h</A>"
3380         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/group-member.c">group-member.c</A>
3381         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/group-member.m4">group-member.m4</A><BR>gl_FUNC_GROUP_MEMBER
3382         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
3383       </TR>
3384       <TR>
3385         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=idcache"></A><A HREF="modules/idcache">idcache</A>
3386         <TD ALIGN=LEFT VALIGN=TOP>---
3387         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/idcache.c">idcache.c</A>
3388         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/idcache.m4">idcache.m4</A><BR>gl_IDCACHE
3389         <TD ALIGN=LEFT VALIGN=TOP>xalloc
3390       </TR>
3391       <TR>
3392         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=userspec"></A><A HREF="modules/userspec">userspec</A>
3393         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/userspec.h">userspec.h</A>"
3394         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inttostr.h">inttostr.h</A><BR><A HREF="lib/userspec.c">userspec.c</A>
3395         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/userspec.m4">userspec.m4</A><BR>gl_USERSPEC
3396         <TD ALIGN=LEFT VALIGN=TOP>posixver<BR>xalloc<BR>xstrtol<BR>strdup<BR>gettext-h<BR>intprops<BR>stdbool
3397       </TR>
3398     </TABLE>
3399     <H3><A NAME="posix_ext_datetime">Date and time</A></H3>
3400     <TABLE>
3401       <TR>
3402         <TH ALIGN=LEFT>modules/
3403         <TH ALIGN=LEFT>lib/
3404         <TH ALIGN=LEFT>lib/
3405         <TH ALIGN=LEFT>m4/
3406         <TH ALIGN=LEFT>&nbsp;
3407       </TR>
3408       <TR>
3409         <TH ALIGN=LEFT>Module
3410         <TH ALIGN=LEFT>Header
3411         <TH ALIGN=LEFT>Implementation
3412         <TH ALIGN=LEFT>Autoconf macro
3413         <TH ALIGN=LEFT>Depends on
3414       </TR>
3415       <TR>
3416         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettime"></A><A HREF="modules/gettime">gettime</A>
3417         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
3418         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettime.c">gettime.c</A>
3419         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/gettime.m4">gettime.m4</A><BR>gl_GETTIME
3420         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday<BR>timespec<BR>extensions
3421       </TR>
3422       <TR>
3423         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=settime"></A><A HREF="modules/settime">settime</A>
3424         <TD ALIGN=LEFT VALIGN=TOP>---
3425         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/settime.c">settime.c</A>
3426         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/settime.m4">settime.m4</A><BR>gl_SETTIME
3427         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>extensions
3428       </TR>
3429       <TR>
3430         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixtm"></A><A HREF="modules/posixtm">posixtm</A>
3431         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixtm.h">posixtm.h</A>"
3432         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixtm.c">posixtm.c</A>
3433         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixtm.m4">posixtm.m4</A><BR>gl_POSIXTM
3434         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>stdbool
3435       </TR>
3436       <TR>
3437         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xnanosleep"></A><A HREF="modules/xnanosleep">xnanosleep</A>
3438         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xnanosleep.h">xnanosleep.h</A>"
3439         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xnanosleep.c">xnanosleep.c</A>
3440         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xnanosleep.m4">xnanosleep.m4</A><BR>gl_XNANOSLEEP
3441         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>intprops<BR>stdbool
3442       </TR>
3443     </TABLE>
3444     <H3><A NAME="posix_ext_net">Networking functions</A></H3>
3445     <TABLE>
3446       <TR>
3447         <TH ALIGN=LEFT>modules/
3448         <TH ALIGN=LEFT>lib/
3449         <TH ALIGN=LEFT>lib/
3450         <TH ALIGN=LEFT>m4/
3451         <TH ALIGN=LEFT>&nbsp;
3452       </TR>
3453       <TR>
3454         <TH ALIGN=LEFT>Module
3455         <TH ALIGN=LEFT>Header
3456         <TH ALIGN=LEFT>Implementation
3457         <TH ALIGN=LEFT>Autoconf macro
3458         <TH ALIGN=LEFT>Depends on
3459       </TR>
3460       <TR>
3461         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgethostname"></A><A HREF="modules/xgethostname">xgethostname</A>
3462         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgethostname.h">xgethostname.h</A>"
3463         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgethostname.c">xgethostname.c</A>
3464         <TD ALIGN=LEFT VALIGN=TOP>---
3465         <TD ALIGN=LEFT VALIGN=TOP>gethostname<BR>xalloc
3466       </TR>
3467       <TR>
3468         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canon-host"></A><A HREF="modules/canon-host">canon-host</A>
3469         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/canon-host.h">canon-host.h</A>"
3470         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canon-host.c">canon-host.c</A>
3471         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canon-host.m4">canon-host.m4</A><BR>gl_CANON_HOST
3472         <TD ALIGN=LEFT VALIGN=TOP>getaddrinfo<BR>strdup
3473       </TR>
3474     </TABLE>
3475     <H3><A NAME="posix_ext_thread">Multithreading</A></H3>
3476     <TABLE>
3477       <TR>
3478         <TH ALIGN=LEFT>modules/
3479         <TH ALIGN=LEFT>lib/
3480         <TH ALIGN=LEFT>lib/
3481         <TH ALIGN=LEFT>m4/
3482         <TH ALIGN=LEFT>&nbsp;
3483       </TR>
3484       <TR>
3485         <TH ALIGN=LEFT>Module
3486         <TH ALIGN=LEFT>Header
3487         <TH ALIGN=LEFT>Implementation
3488         <TH ALIGN=LEFT>Autoconf macro
3489         <TH ALIGN=LEFT>Depends on
3490       </TR>
3491       <TR>
3492         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lock"></A><A HREF="modules/lock">lock</A>
3493         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lock.h">lock.h</A>"
3494         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lock.c">lock.c</A>
3495         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lock.m4">lock.m4</A><BR>gl_LOCK
3496         <TD ALIGN=LEFT VALIGN=TOP>havelib
3497       </TR>
3498       <TR>
3499         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tls"></A><A HREF="modules/tls">tls</A>
3500         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/tls.h">tls.h</A>"
3501         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/tls.c">tls.c</A>
3502         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tls.m4">tls.m4</A><BR>gl_TLS
3503         <TD ALIGN=LEFT VALIGN=TOP>lock
3504       </TR>
3505     </TABLE>
3506     <H3><A NAME="posix_ext_i18n">Internationalization functions</A></H3>
3507     <TABLE>
3508       <TR>
3509         <TH ALIGN=LEFT>modules/
3510         <TH ALIGN=LEFT>lib/
3511         <TH ALIGN=LEFT>lib/
3512         <TH ALIGN=LEFT>m4/
3513         <TH ALIGN=LEFT>&nbsp;
3514       </TR>
3515       <TR>
3516         <TH ALIGN=LEFT>Module
3517         <TH ALIGN=LEFT>Header
3518         <TH ALIGN=LEFT>Implementation
3519         <TH ALIGN=LEFT>Autoconf macro
3520         <TH ALIGN=LEFT>Depends on
3521       </TR>
3522       <TR>
3523         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext"></A><A HREF="modules/gettext">gettext</A>
3524         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3525         <TD ALIGN=LEFT VALIGN=TOP>---
3526         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/gettext.m4">gettext.m4</A><BR><A HREF="m4/glibc2.m4">glibc2.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/iconv.m4">iconv.m4</A><BR><A HREF="m4/intdiv0.m4">intdiv0.m4</A><BR><A HREF="m4/intmax.m4">intmax.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/inttypes-h.m4">inttypes-h.m4</A><BR><A HREF="m4/inttypes-pri.m4">inttypes-pri.m4</A><BR><A HREF="m4/lcmessage.m4">lcmessage.m4</A><BR><A HREF="m4/lock.m4">lock.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/nls.m4">nls.m4</A><BR><A HREF="m4/po.m4">po.m4</A><BR><A HREF="m4/printf-posix.m4">printf-posix.m4</A><BR><A HREF="m4/progtest.m4">progtest.m4</A><BR><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/size_max.m4">size_max.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/visibility.m4">visibility.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/xsize.m4">xsize.m4</A><BR>AM_GNU_GETTEXT([external])<BR>AM_GNU_GETTEXT_VERSION([0.15])
3527         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>havelib
3528       </TR>
3529       <TR>
3530         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext-h"></A><A HREF="modules/gettext-h">gettext-h</A>
3531         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3532         <TD ALIGN=LEFT VALIGN=TOP>---
3533         <TD ALIGN=LEFT VALIGN=TOP>---
3534         <TD ALIGN=LEFT VALIGN=TOP>---
3535       </TR>
3536       <TR>
3537         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconv"></A><A HREF="modules/iconv">iconv</A>
3538         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/iconv.h.html">iconv.h</A>&gt;
3539         <TD ALIGN=LEFT VALIGN=TOP>---
3540         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconv.m4">iconv.m4</A><BR>AM_ICONV
3541         <TD ALIGN=LEFT VALIGN=TOP>havelib
3542       </TR>
3543       <TR>
3544         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconvme"></A><A HREF="modules/iconvme">iconvme</A>
3545         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/iconvme.h">iconvme.h</A>"
3546         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/iconvme.c">iconvme.c</A>
3547         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconvme.m4">iconvme.m4</A><BR>gl_ICONVME
3548         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>strdup
3549       </TR>
3550       <TR>
3551         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=localcharset"></A><A HREF="modules/localcharset">localcharset</A>
3552         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/localcharset.h">localcharset.h</A>"
3553         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/localcharset.c">localcharset.c</A><BR><A HREF="lib/config.charset">config.charset</A><BR><A HREF="lib/ref-add.sin">ref-add.sin</A><BR><A HREF="lib/ref-del.sin">ref-del.sin</A>
3554         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/localcharset.m4">localcharset.m4</A><BR>gl_LOCALCHARSET
3555         <TD ALIGN=LEFT VALIGN=TOP>---
3556       </TR>
3557       <TR>
3558         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hard-locale"></A><A HREF="modules/hard-locale">hard-locale</A>
3559         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hard-locale.h">hard-locale.h</A>"
3560         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hard-locale.c">hard-locale.c</A>
3561         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hard-locale.m4">hard-locale.m4</A><BR>gl_HARD_LOCALE
3562         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>strdup
3563       </TR>
3564       <TR>
3565         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbswidth"></A><A HREF="modules/mbswidth">mbswidth</A>
3566         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbswidth.h">mbswidth.h</A>"
3567         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbswidth.c">mbswidth.c</A>
3568         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/mbswidth.m4">mbswidth.m4</A><BR>gl_MBSWIDTH
3569         <TD ALIGN=LEFT VALIGN=TOP>wcwidth
3570       </TR>
3571       <TR>
3572         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcasecmp"></A><A HREF="modules/memcasecmp">memcasecmp</A>
3573         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcasecmp.h">memcasecmp.h</A>"
3574         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcasecmp.c">memcasecmp.c</A>
3575         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcasecmp.m4">memcasecmp.m4</A><BR>gl_MEMCASECMP
3576         <TD ALIGN=LEFT VALIGN=TOP>---
3577       </TR>
3578       <TR>
3579         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcoll"></A><A HREF="modules/memcoll">memcoll</A>
3580         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcoll.h">memcoll.h</A>"
3581         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcoll.c">memcoll.c</A>
3582         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcoll.m4">memcoll.m4</A><BR>gl_MEMCOLL
3583         <TD ALIGN=LEFT VALIGN=TOP>---
3584       </TR>
3585       <TR>
3586         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xmemcoll"></A><A HREF="modules/xmemcoll">xmemcoll</A>
3587         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xmemcoll.h">xmemcoll.h</A>"
3588         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmemcoll.c">xmemcoll.c</A>
3589         <TD ALIGN=LEFT VALIGN=TOP>---
3590         <TD ALIGN=LEFT VALIGN=TOP>memcoll<BR>gettext-h<BR>error<BR>quotearg<BR>exitfail
3591       </TR>
3592       <TR>
3593         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unicodeio"></A><A HREF="modules/unicodeio">unicodeio</A>
3594         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unicodeio.h">unicodeio.h</A>"
3595         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unicodeio.c">unicodeio.c</A>
3596         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unicodeio.m4">unicodeio.m4</A><BR>gl_UNICODEIO
3597         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>gettext-h<BR>localcharset<BR>error
3598       </TR>
3599       <TR>
3600         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rpmatch"></A><A HREF="modules/rpmatch">rpmatch</A>
3601         <TD ALIGN=LEFT VALIGN=TOP>---
3602         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rpmatch.c">rpmatch.c</A>
3603         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rpmatch.m4">rpmatch.m4</A><BR>gl_FUNC_RPMATCH
3604         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>regex
3605       </TR>
3606       <TR>
3607         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=yesno"></A><A HREF="modules/yesno">yesno</A>
3608         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/yesno.h">yesno.h</A>"
3609         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/yesno.c">yesno.c</A>
3610         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/yesno.m4">yesno.m4</A><BR>gl_YESNO
3611         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>rpmatch<BR>stdbool
3612       </TR>
3613       <TR>
3614         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf8"></A><A HREF="modules/ucs4-utf8">ucs4-utf8</A>
3615         <TD ALIGN=LEFT VALIGN=TOP>---
3616         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf8.h">ucs4-utf8.h</A>
3617         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3618         <TD ALIGN=LEFT VALIGN=TOP>---
3619       </TR>
3620       <TR>
3621         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf16"></A><A HREF="modules/ucs4-utf16">ucs4-utf16</A>
3622         <TD ALIGN=LEFT VALIGN=TOP>---
3623         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf16.h">ucs4-utf16.h</A>
3624         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3625         <TD ALIGN=LEFT VALIGN=TOP>---
3626       </TR>
3627       <TR>
3628         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf8-ucs4"></A><A HREF="modules/utf8-ucs4">utf8-ucs4</A>
3629         <TD ALIGN=LEFT VALIGN=TOP>---
3630         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf8-ucs4.h">utf8-ucs4.h</A>
3631         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3632         <TD ALIGN=LEFT VALIGN=TOP>---
3633       </TR>
3634       <TR>
3635         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf16-ucs4"></A><A HREF="modules/utf16-ucs4">utf16-ucs4</A>
3636         <TD ALIGN=LEFT VALIGN=TOP>---
3637         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf16-ucs4.h">utf16-ucs4.h</A>
3638         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3639         <TD ALIGN=LEFT VALIGN=TOP>---
3640       </TR>
3641       <TR>
3642         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebreak"></A><A HREF="modules/linebreak">linebreak</A>
3643         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebreak.h">linebreak.h</A>"
3644         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebreak.c">linebreak.c</A><BR><A HREF="lib/lbrkprop.h">lbrkprop.h</A>
3645         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/linebreak.m4">linebreak.m4</A><BR>gl_LINEBREAK
3646         <TD ALIGN=LEFT VALIGN=TOP>localcharset<BR>c-ctype<BR>iconv<BR>utf8-ucs4<BR>utf16-ucs4<BR>xsize
3647       </TR>
3648       <TR>
3649         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=bison-i18n"></A><A HREF="modules/bison-i18n">bison-i18n</A>
3650         <TD ALIGN=LEFT VALIGN=TOP>---
3651         <TD ALIGN=LEFT VALIGN=TOP>---
3652         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/bison-i18n.m4">bison-i18n.m4</A><BR>BISON_I18N
3653         <TD ALIGN=LEFT VALIGN=TOP>---
3654       </TR>
3655     </TABLE>
3656     <H3><A NAME="posix_ext_exec">Executing programs</A></H3>
3657     <TABLE>
3658       <TR>
3659         <TH ALIGN=LEFT>modules/
3660         <TH ALIGN=LEFT>lib/
3661         <TH ALIGN=LEFT>lib/
3662         <TH ALIGN=LEFT>m4/
3663         <TH ALIGN=LEFT>&nbsp;
3664       </TR>
3665       <TR>
3666         <TH ALIGN=LEFT>Module
3667         <TH ALIGN=LEFT>Header
3668         <TH ALIGN=LEFT>Implementation
3669         <TH ALIGN=LEFT>Autoconf macro
3670         <TH ALIGN=LEFT>Depends on
3671       </TR>
3672       <TR>
3673         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cloexec"></A><A HREF="modules/cloexec">cloexec</A>
3674         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cloexec.h">cloexec.h</A>"
3675         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cloexec.c">cloexec.c</A>
3676         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/cloexec.m4">cloexec.m4</A><BR>gl_CLOEXEC
3677         <TD ALIGN=LEFT VALIGN=TOP>stdbool
3678       </TR>
3679       <TR>
3680         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=findprog"></A><A HREF="modules/findprog">findprog</A>
3681         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/findprog.h">findprog.h</A>"
3682         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/findprog.c">findprog.c</A>
3683         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/findprog.m4">findprog.m4</A><BR><A HREF="m4/eaccess.m4">eaccess.m4</A><BR>gl_FINDPROG
3684         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc<BR>pathname<BR>unistd
3685       </TR>
3686       <TR>
3687         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=wait-process"></A><A HREF="modules/wait-process">wait-process</A>
3688         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/wait-process.h">wait-process.h</A>"
3689         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/wait-process.c">wait-process.c</A>
3690         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/wait-process.m4">wait-process.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_WAIT_PROCESS
3691         <TD ALIGN=LEFT VALIGN=TOP>fatal-signal<BR>error<BR>exit<BR>xalloc<BR>gettext-h<BR>stdbool<BR>unistd
3692       </TR>
3693       <TR>
3694         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=execute"></A><A HREF="modules/execute">execute</A>
3695         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/execute.h">execute.h</A>"
3696         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/execute.c">execute.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3697         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/execute.m4">execute.m4</A><BR>gl_EXECUTE
3698         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>fatal-signal<BR>wait-process<BR>gettext-h<BR>stdbool<BR>strpbrk<BR>unistd
3699       </TR>
3700       <TR>
3701         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pipe"></A><A HREF="modules/pipe">pipe</A>
3702         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pipe.h">pipe.h</A>"
3703         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pipe.c">pipe.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3704         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pipe.m4">pipe.m4</A><BR>gl_PIPE
3705         <TD ALIGN=LEFT VALIGN=TOP>wait-process<BR>error<BR>exit<BR>fatal-signal<BR>gettext-h<BR>stdbool<BR>strpbrk<BR>unistd
3706       </TR>
3707       <TR>
3708         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sh-quote"></A><A HREF="modules/sh-quote">sh-quote</A>
3709         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sh-quote.h">sh-quote.h</A>"
3710         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sh-quote.c">sh-quote.c</A>
3711         <TD ALIGN=LEFT VALIGN=TOP>---
3712         <TD ALIGN=LEFT VALIGN=TOP>quotearg<BR>xalloc
3713       </TR>
3714     </TABLE>
3715     <H3><A NAME="posix_ext_java">Java</A></H3>
3716     <TABLE>
3717       <TR>
3718         <TH ALIGN=LEFT>modules/
3719         <TH ALIGN=LEFT>lib/
3720         <TH ALIGN=LEFT>lib/
3721         <TH ALIGN=LEFT>m4/
3722         <TH ALIGN=LEFT>&nbsp;
3723       </TR>
3724       <TR>
3725         <TH ALIGN=LEFT>Module
3726         <TH ALIGN=LEFT>Header
3727         <TH ALIGN=LEFT>Implementation
3728         <TH ALIGN=LEFT>Autoconf macro
3729         <TH ALIGN=LEFT>Depends on
3730       </TR>
3731       <TR>
3732         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=classpath"></A><A HREF="modules/classpath">classpath</A>
3733         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/classpath.h">classpath.h</A>"
3734         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/classpath.c">classpath.c</A>
3735         <TD ALIGN=LEFT VALIGN=TOP>---
3736         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xsetenv<BR>xalloc
3737       </TR>
3738       <TR>
3739         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javacomp"></A><A HREF="modules/javacomp">javacomp</A>
3740         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javacomp.h">javacomp.h</A>"
3741         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javacomp.c">javacomp.c</A>
3742         <TD ALIGN=LEFT VALIGN=TOP>---
3743         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>unistd<BR>javaversion<BR>execute<BR>pipe<BR>wait-process<BR>classpath<BR>xsetenv<BR>sh-quote<BR>binary-io<BR>safe-read<BR>xalloc<BR>xallocsa<BR>getline<BR>pathname<BR>fwriteerror<BR>clean-temp<BR>error<BR>xvasprintf<BR>strstr<BR>gettext-h<BR>javacomp-script
3744       </TR>
3745       <TR>
3746         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javaexec"></A><A HREF="modules/javaexec">javaexec</A>
3747         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javaexec.h">javaexec.h</A>"
3748         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javaexec.c">javaexec.c</A>
3749         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javaexec.m4">javaexec.m4</A><BR># You need to invoke gt_JAVAEXEC yourself, possibly with arguments.<BR>AC_CONFIG_FILES([javaexec.sh:build-aux/javaexec.sh.in])
3750         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>classpath<BR>xsetenv<BR>sh-quote<BR>pathname<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3751       </TR>
3752       <TR>
3753         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javaversion"></A><A HREF="modules/javaversion">javaversion</A>
3754         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javaversion.h">javaversion.h</A>"
3755         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javaversion.c">javaversion.c</A><BR><A HREF="lib/javaversion.java">javaversion.java</A><BR><A HREF="lib/javaversion.class">javaversion.class</A>
3756         <TD ALIGN=LEFT VALIGN=TOP>---
3757         <TD ALIGN=LEFT VALIGN=TOP>javaexec<BR>stdbool<BR>pipe<BR>wait-process<BR>getline<BR>gettext-h
3758       </TR>
3759     </TABLE>
3760     <H3><A NAME="posix_ext_csharp">C#</A></H3>
3761     <TABLE>
3762       <TR>
3763         <TH ALIGN=LEFT>modules/
3764         <TH ALIGN=LEFT>lib/
3765         <TH ALIGN=LEFT>lib/
3766         <TH ALIGN=LEFT>m4/
3767         <TH ALIGN=LEFT>&nbsp;
3768       </TR>
3769       <TR>
3770         <TH ALIGN=LEFT>Module
3771         <TH ALIGN=LEFT>Header
3772         <TH ALIGN=LEFT>Implementation
3773         <TH ALIGN=LEFT>Autoconf macro
3774         <TH ALIGN=LEFT>Depends on
3775       </TR>
3776       <TR>
3777         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpcomp"></A><A HREF="modules/csharpcomp">csharpcomp</A>
3778         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpcomp.h">csharpcomp.h</A>"
3779         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpcomp.c">csharpcomp.c</A>
3780         <TD ALIGN=LEFT VALIGN=TOP>---
3781         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xallocsa<BR>execute<BR>pipe<BR>wait-process<BR>getline<BR>sh-quote<BR>safe-read<BR>error<BR>gettext-h<BR>csharpcomp-script
3782       </TR>
3783       <TR>
3784         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpexec"></A><A HREF="modules/csharpexec">csharpexec</A>
3785         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpexec.h">csharpexec.h</A>"
3786         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpexec.c">csharpexec.c</A><BR><A HREF="lib/classpath.h">classpath.h</A><BR><A HREF="lib/classpath.c">classpath.c</A>
3787         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpexec.m4">csharpexec.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR># You need to invoke gt_CSHARPEXEC yourself, possibly with arguments.<BR>AC_CONFIG_FILES([csharpexec.sh:build-aux/csharpexec.sh.in])
3788         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>xsetenv<BR>sh-quote<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3789       </TR>
3790     </TABLE>
3791     <H3><A NAME="posix_ext_misc">Misc</A></H3>
3792     <TABLE>
3793       <TR>
3794         <TH ALIGN=LEFT>modules/
3795         <TH ALIGN=LEFT>lib/
3796         <TH ALIGN=LEFT>lib/
3797         <TH ALIGN=LEFT>m4/
3798         <TH ALIGN=LEFT>&nbsp;
3799       </TR>
3800       <TR>
3801         <TH ALIGN=LEFT>Module
3802         <TH ALIGN=LEFT>Header
3803         <TH ALIGN=LEFT>Implementation
3804         <TH ALIGN=LEFT>Autoconf macro
3805         <TH ALIGN=LEFT>Depends on
3806       </TR>
3807       <TR>
3808         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argp"></A><A HREF="modules/argp">argp</A>
3809         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argp.h">argp.h</A>"
3810         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argp-ba.c">argp-ba.c</A><BR><A HREF="lib/argp-eexst.c">argp-eexst.c</A><BR><A HREF="lib/argp-fmtstream.c">argp-fmtstream.c</A><BR><A HREF="lib/argp-fmtstream.h">argp-fmtstream.h</A><BR><A HREF="lib/argp-fs-xinl.c">argp-fs-xinl.c</A><BR><A HREF="lib/argp-help.c">argp-help.c</A><BR><A HREF="lib/argp-namefrob.h">argp-namefrob.h</A><BR><A HREF="lib/argp-parse.c">argp-parse.c</A><BR><A HREF="lib/argp-pin.c">argp-pin.c</A><BR><A HREF="lib/argp-pv.c">argp-pv.c</A><BR><A HREF="lib/argp-pvh.c">argp-pvh.c</A><BR><A HREF="lib/argp-xinl.c">argp-xinl.c</A>
3811         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argp.m4">argp.m4</A><BR>gl_ARGP
3812         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>dirname<BR>getopt<BR>strchrnul<BR>sysexits<BR>mempcpy<BR>strndup<BR>strcase<BR>extensions<BR>vsnprintf
3813       </TR>
3814       <TR>
3815         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argz"></A><A HREF="modules/argz">argz</A>
3816         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;argz.h&gt;
3817         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argz_.h">argz_.h</A><BR><A HREF="lib/argz.c">argz.c</A>
3818         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argz.m4">argz.m4</A><BR>gl_FUNC_ARGZ
3819         <TD ALIGN=LEFT VALIGN=TOP>---
3820       </TR>
3821       <TR>
3822         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=byteswap"></A><A HREF="modules/byteswap">byteswap</A>
3823         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;byteswap.h&gt;
3824         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/byteswap_.h">byteswap_.h</A>
3825         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/byteswap.m4">byteswap.m4</A><BR>gl_BYTESWAP
3826         <TD ALIGN=LEFT VALIGN=TOP>---
3827       </TR>
3828       <TR>
3829         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exitfail"></A><A HREF="modules/exitfail">exitfail</A>
3830         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exitfail.h">exitfail.h</A>"
3831         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exitfail.c">exitfail.c</A>
3832         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exitfail.m4">exitfail.m4</A><BR>gl_EXITFAIL
3833         <TD ALIGN=LEFT VALIGN=TOP>exit
3834       </TR>
3835       <TR>
3836         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-stack"></A><A HREF="modules/c-stack">c-stack</A>
3837         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-stack.h">c-stack.h</A>"
3838         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-stack.c">c-stack.c</A>
3839         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-stack.m4">c-stack.m4</A><BR>gl_C_STACK
3840         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exitfail<BR>unistd
3841       </TR>
3842       <TR>
3843         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=error"></A><A HREF="modules/error">error</A>
3844         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/error.h">error.h</A>"
3845         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/error.c">error.c</A>
3846         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/error.m4">error.m4</A><BR><A HREF="m4/strerror_r.m4">strerror_r.m4</A><BR>gl_ERROR
3847         <TD ALIGN=LEFT VALIGN=TOP>---
3848       </TR>
3849       <TR>
3850         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=extensions"></A><A HREF="modules/extensions">extensions</A>
3851         <TD ALIGN=LEFT VALIGN=TOP>---
3852         <TD ALIGN=LEFT VALIGN=TOP>---
3853         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/extensions.m4">extensions.m4</A><BR>dnl gl_USE_SYSTEM_EXTENSIONS must be added quite early to configure.ac.
3854         <TD ALIGN=LEFT VALIGN=TOP>---
3855       </TR>
3856       <TR>
3857         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdomainname"></A><A HREF="modules/getdomainname">getdomainname</A>
3858         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdomainname.h">getdomainname.h</A>"
3859         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdomainname.c">getdomainname.c</A>
3860         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdomainname.m4">getdomainname.m4</A><BR>gl_FUNC_GETDOMAINNAME
3861         <TD ALIGN=LEFT VALIGN=TOP>---
3862       </TR>
3863       <TR>
3864         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetdomainname"></A><A HREF="modules/xgetdomainname">xgetdomainname</A>
3865         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetdomainname.h">xgetdomainname.h</A>"
3866         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetdomainname.c">xgetdomainname.c</A>
3867         <TD ALIGN=LEFT VALIGN=TOP>---
3868         <TD ALIGN=LEFT VALIGN=TOP>getdomainname<BR>xalloc
3869       </TR>
3870       <TR>
3871         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getloadavg"></A><A HREF="modules/getloadavg">getloadavg</A>
3872         <TD ALIGN=LEFT VALIGN=TOP>---
3873         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getloadavg.c">getloadavg.c</A>
3874         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_GETLOADAVG
3875         <TD ALIGN=LEFT VALIGN=TOP>cloexec<BR>xalloc<BR>c-strtod<BR>intprops<BR>stdbool<BR>fcntl-safer
3876       </TR>
3877       <TR>
3878         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpagesize"></A><A HREF="modules/getpagesize">getpagesize</A>
3879         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpagesize.h">getpagesize.h</A>"
3880         <TD ALIGN=LEFT VALIGN=TOP>---
3881         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpagesize.m4">getpagesize.m4</A><BR>gl_GETPAGESIZE
3882         <TD ALIGN=LEFT VALIGN=TOP>---
3883       </TR>
3884       <TR>
3885         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getusershell"></A><A HREF="modules/getusershell">getusershell</A>
3886         <TD ALIGN=LEFT VALIGN=TOP>---
3887         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getusershell.c">getusershell.c</A>
3888         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getusershell.m4">getusershell.m4</A><BR>gl_FUNC_GETUSERSHELL
3889         <TD ALIGN=LEFT VALIGN=TOP>fopen-safer<BR>xalloc
3890       </TR>
3891       <TR>
3892         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=physmem"></A><A HREF="modules/physmem">physmem</A>
3893         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/physmem.h">physmem.h</A>"
3894         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/physmem.c">physmem.c</A>
3895         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/physmem.m4">physmem.m4</A><BR>gl_PHYSMEM
3896         <TD ALIGN=LEFT VALIGN=TOP>---
3897       </TR>
3898       <TR>
3899         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixver"></A><A HREF="modules/posixver">posixver</A>
3900         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixver.h">posixver.h</A>"
3901         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixver.c">posixver.c</A>
3902         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixver.m4">posixver.m4</A><BR>gl_POSIXVER
3903         <TD ALIGN=LEFT VALIGN=TOP>---
3904       </TR>
3905       <TR>
3906         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=progname"></A><A HREF="modules/progname">progname</A>
3907         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/progname.h">progname.h</A>"
3908         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/progname.c">progname.c</A>
3909         <TD ALIGN=LEFT VALIGN=TOP>---
3910         <TD ALIGN=LEFT VALIGN=TOP>---
3911       </TR>
3912       <TR>
3913         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quotearg"></A><A HREF="modules/quotearg">quotearg</A>
3914         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quotearg.h">quotearg.h</A>"
3915         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quotearg.c">quotearg.c</A>
3916         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/quotearg.m4">quotearg.m4</A><BR>gl_QUOTEARG
3917         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>gettext-h<BR>stdbool
3918       </TR>
3919       <TR>
3920         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quote"></A><A HREF="modules/quote">quote</A>
3921         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quote.h">quote.h</A>"
3922         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quote.c">quote.c</A>
3923         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/quote.m4">quote.m4</A><BR>gl_QUOTE
3924         <TD ALIGN=LEFT VALIGN=TOP>quotearg
3925       </TR>
3926       <TR>
3927         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readutmp"></A><A HREF="modules/readutmp">readutmp</A>
3928         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readutmp.h">readutmp.h</A>"
3929         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readutmp.c">readutmp.c</A>
3930         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readutmp.m4">readutmp.m4</A><BR>gl_READUTMP
3931         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>free<BR>stdbool
3932       </TR>
3933       <TR>
3934         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sig2str"></A><A HREF="modules/sig2str">sig2str</A>
3935         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sig2str.h">sig2str.h</A>"
3936         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sig2str.c">sig2str.c</A>
3937         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sig2str.m4">sig2str.m4</A><BR>gl_FUNC_SIG2STR
3938         <TD ALIGN=LEFT VALIGN=TOP>intprops
3939       </TR>
3940       <TR>
3941         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sysexits"></A><A HREF="modules/sysexits">sysexits</A>
3942         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;sysexits.h&gt;
3943         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sysexit_.h">sysexit_.h</A>
3944         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sysexits.m4">sysexits.m4</A><BR>gl_SYSEXITS
3945         <TD ALIGN=LEFT VALIGN=TOP>---
3946       </TR>
3947       <TR>
3948         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=verror"></A><A HREF="modules/verror">verror</A>
3949         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/verror.h">verror.h</A>"
3950         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/verror.c">verror.c</A>
3951         <TD ALIGN=LEFT VALIGN=TOP>---
3952         <TD ALIGN=LEFT VALIGN=TOP>error<BR>xvasprintf
3953       </TR>
3954       <TR>
3955         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=visibility"></A><A HREF="modules/visibility">visibility</A>
3956         <TD ALIGN=LEFT VALIGN=TOP>---
3957         <TD ALIGN=LEFT VALIGN=TOP>---
3958         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/visibility.m4">visibility.m4</A><BR>gl_VISIBILITY
3959         <TD ALIGN=LEFT VALIGN=TOP>---
3960       </TR>
3961     </TABLE>
3962     <H2><A NAME="build_lib">Support for building libraries and executables</A></H2>
3963     <TABLE>
3964       <TR>
3965         <TH ALIGN=LEFT>modules/
3966         <TH ALIGN=LEFT>lib/
3967         <TH ALIGN=LEFT>lib/
3968         <TH ALIGN=LEFT>m4/
3969         <TH ALIGN=LEFT>&nbsp;
3970       </TR>
3971       <TR>
3972         <TH ALIGN=LEFT>Module
3973         <TH ALIGN=LEFT>Header
3974         <TH ALIGN=LEFT>Implementation
3975         <TH ALIGN=LEFT>Autoconf macro
3976         <TH ALIGN=LEFT>Depends on
3977       </TR>
3978       <TR>
3979         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ldd"></A><A HREF="modules/ldd">ldd</A>
3980         <TD ALIGN=LEFT VALIGN=TOP>---
3981         <TD ALIGN=LEFT VALIGN=TOP>---
3982         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ldd.m4">ldd.m4</A><BR>gl_LDD<BR>AC_CONFIG_FILES([ldd.sh:build-aux/ldd.sh.in])
3983         <TD ALIGN=LEFT VALIGN=TOP>---
3984       </TR>
3985       <TR>
3986         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lib-ignore"></A><A HREF="modules/lib-ignore">lib-ignore</A>
3987         <TD ALIGN=LEFT VALIGN=TOP>---
3988         <TD ALIGN=LEFT VALIGN=TOP>---
3989         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lib-ignore.m4">lib-ignore.m4</A><BR>gl_IGNORE_UNUSED_LIBRARIES
3990         <TD ALIGN=LEFT VALIGN=TOP>---
3991       </TR>
3992     </TABLE>
3993     <H2><A NAME="build_doc">Support for building documentation</A></H2>
3994     <TABLE>
3995       <TR>
3996         <TH ALIGN=LEFT>modules/
3997         <TH ALIGN=LEFT>lib/
3998         <TH ALIGN=LEFT>lib/
3999         <TH ALIGN=LEFT>m4/
4000         <TH ALIGN=LEFT>&nbsp;
4001       </TR>
4002       <TR>
4003         <TH ALIGN=LEFT>Module
4004         <TH ALIGN=LEFT>Header
4005         <TH ALIGN=LEFT>Implementation
4006         <TH ALIGN=LEFT>Autoconf macro
4007         <TH ALIGN=LEFT>Depends on
4008       </TR>
4009       <TR>
4010         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fdl"></A><A HREF="modules/fdl">fdl</A>
4011         <TD ALIGN=LEFT VALIGN=TOP>---
4012         <TD ALIGN=LEFT VALIGN=TOP>---
4013         <TD ALIGN=LEFT VALIGN=TOP>---
4014         <TD ALIGN=LEFT VALIGN=TOP>---
4015       </TR>
4016       <TR>
4017         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gendocs"></A><A HREF="modules/gendocs">gendocs</A>
4018         <TD ALIGN=LEFT VALIGN=TOP>---
4019         <TD ALIGN=LEFT VALIGN=TOP>---
4020         <TD ALIGN=LEFT VALIGN=TOP>---
4021         <TD ALIGN=LEFT VALIGN=TOP>---
4022       </TR>
4023     </TABLE>
4024     <H2>Unclassified modules - please update MODULES.html.sh</H2>
4025     <TABLE>
4026       <TR>
4027         <TH ALIGN=LEFT>modules/
4028         <TH ALIGN=LEFT>lib/
4029         <TH ALIGN=LEFT>lib/
4030         <TH ALIGN=LEFT>m4/
4031         <TH ALIGN=LEFT>&nbsp;
4032       </TR>
4033       <TR>
4034         <TH ALIGN=LEFT>Module
4035         <TH ALIGN=LEFT>Header
4036         <TH ALIGN=LEFT>Implementation
4037         <TH ALIGN=LEFT>Autoconf macro
4038         <TH ALIGN=LEFT>Depends on
4039       </TR>
4040       <TR>
4041         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=arcfour"></A><A HREF="modules/arcfour">arcfour</A>
4042         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/arcfour.h">arcfour.h</A>"
4043         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arcfour.c">arcfour.c</A>
4044         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/arcfour.m4">arcfour.m4</A><BR>gl_ARCFOUR
4045         <TD ALIGN=LEFT VALIGN=TOP>stdint
4046       </TR>
4047       <TR>
4048         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=arctwo"></A><A HREF="modules/arctwo">arctwo</A>
4049         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/arctwo.h">arctwo.h</A>"
4050         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arctwo.c">arctwo.c</A>
4051         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/arctwo.m4">arctwo.m4</A><BR>gl_ARCTWO
4052         <TD ALIGN=LEFT VALIGN=TOP>stdint
4053       </TR>
4054       <TR>
4055         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=arpa_inet"></A><A HREF="modules/arpa_inet">arpa_inet</A>
4056         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/arpa/inet.h.html">arpa/inet.h</A>&gt;
4057         <TD ALIGN=LEFT VALIGN=TOP>---
4058         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/arpa_inet_h.m4">arpa_inet_h.m4</A><BR>gl_HEADER_ARPA_INET
4059         <TD ALIGN=LEFT VALIGN=TOP>sys_socket
4060       </TR>
4061       <TR>
4062         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chdir-long"></A><A HREF="modules/chdir-long">chdir-long</A>
4063         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/chdir-long.h">chdir-long.h</A>"
4064         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chdir-long.c">chdir-long.c</A>
4065         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chdir-long.m4">chdir-long.m4</A><BR>gl_FUNC_CHDIR_LONG
4066         <TD ALIGN=LEFT VALIGN=TOP>openat<BR>mempcpy<BR>memrchr<BR>stdbool
4067       </TR>
4068       <TR>
4069         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chdir-safer"></A><A HREF="modules/chdir-safer">chdir-safer</A>
4070         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/chdir-safer.h">chdir-safer.h</A>"
4071         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chdir-safer.c">chdir-safer.c</A>
4072         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chdir-safer.m4">chdir-safer.m4</A><BR>gl_CHDIR_SAFER
4073         <TD ALIGN=LEFT VALIGN=TOP>same-inode<BR>stdbool
4074       </TR>
4075       <TR>
4076         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=crc"></A><A HREF="modules/crc">crc</A>
4077         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/crc.h">crc.h</A>"
4078         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/crc.c">crc.c</A>
4079         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/crc.m4">crc.m4</A><BR>gl_CRC
4080         <TD ALIGN=LEFT VALIGN=TOP>stdint
4081       </TR>
4082       <TR>
4083         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpcomp-script"></A><A HREF="modules/csharpcomp-script">csharpcomp-script</A>
4084         <TD ALIGN=LEFT VALIGN=TOP>---
4085         <TD ALIGN=LEFT VALIGN=TOP>---
4086         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpcomp.m4">csharpcomp.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR>AC_REQUIRE([gt_CSHARPCOMP])<BR>AC_CONFIG_FILES([csharpcomp.sh:build-aux/csharpcomp.sh.in])
4087         <TD ALIGN=LEFT VALIGN=TOP>---
4088       </TR>
4089       <TR>
4090         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=des"></A><A HREF="modules/des">des</A>
4091         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/des.h">des.h</A>"
4092         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/des.c">des.c</A>
4093         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/des.m4">des.m4</A><BR>gl_DES
4094         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>stdbool
4095       </TR>
4096       <TR>
4097         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dev-ino"></A><A HREF="modules/dev-ino">dev-ino</A>
4098         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dev-ino.h">dev-ino.h</A>"
4099         <TD ALIGN=LEFT VALIGN=TOP>---
4100         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dev-ino.m4">dev-ino.m4</A><BR>gl_STRUCT_DEV_INO
4101         <TD ALIGN=LEFT VALIGN=TOP>---
4102       </TR>
4103       <TR>
4104         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc"></A><A HREF="modules/gc">gc</A>
4105         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4106         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gc-libgcrypt.c">gc-libgcrypt.c</A><BR><A HREF="lib/gc-gnulib.c">gc-gnulib.c</A>
4107         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc.m4">gc.m4</A><BR>gl_GC
4108         <TD ALIGN=LEFT VALIGN=TOP>havelib
4109       </TR>
4110       <TR>
4111         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-arcfour"></A><A HREF="modules/gc-arcfour">gc-arcfour</A>
4112         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4113         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arcfour.h">arcfour.h</A><BR><A HREF="lib/arcfour.c">arcfour.c</A>
4114         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-arcfour.m4">gc-arcfour.m4</A><BR><A HREF="m4/arcfour.m4">arcfour.m4</A><BR>gl_GC_ARCFOUR
4115         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4116       </TR>
4117       <TR>
4118         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-arctwo"></A><A HREF="modules/gc-arctwo">gc-arctwo</A>
4119         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4120         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arctwo.h">arctwo.h</A><BR><A HREF="lib/arctwo.c">arctwo.c</A>
4121         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-arctwo.m4">gc-arctwo.m4</A><BR><A HREF="m4/arctwo.m4">arctwo.m4</A><BR>gl_GC_ARCTWO
4122         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4123       </TR>
4124       <TR>
4125         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-des"></A><A HREF="modules/gc-des">gc-des</A>
4126         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4127         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/des.h">des.h</A><BR><A HREF="lib/des.c">des.c</A>
4128         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-des.m4">gc-des.m4</A><BR><A HREF="m4/des.m4">des.m4</A><BR>gl_GC_DES
4129         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4130       </TR>
4131       <TR>
4132         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-hmac-md5"></A><A HREF="modules/gc-hmac-md5">gc-hmac-md5</A>
4133         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4134         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.h">md5.h</A><BR><A HREF="lib/md5.c">md5.c</A><BR><A HREF="lib/hmac.h">hmac.h</A><BR><A HREF="lib/hmac-md5.c">hmac-md5.c</A><BR><A HREF="lib/memxor.h">memxor.h</A><BR><A HREF="lib/memxor.c">memxor.c</A>
4135         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-hmac-md5.m4">gc-hmac-md5.m4</A><BR><A HREF="m4/md5.m4">md5.m4</A><BR><A HREF="m4/hmac-md5.m4">hmac-md5.m4</A><BR><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_GC_HMAC_MD5
4136         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4137       </TR>
4138       <TR>
4139         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-hmac-sha1"></A><A HREF="modules/gc-hmac-sha1">gc-hmac-sha1</A>
4140         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4141         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.h">sha1.h</A><BR><A HREF="lib/sha1.c">sha1.c</A><BR><A HREF="lib/hmac.h">hmac.h</A><BR><A HREF="lib/hmac-sha1.c">hmac-sha1.c</A><BR><A HREF="lib/memxor.h">memxor.h</A><BR><A HREF="lib/memxor.c">memxor.c</A>
4142         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-hmac-sha1.m4">gc-hmac-sha1.m4</A><BR><A HREF="m4/sha1.m4">sha1.m4</A><BR><A HREF="m4/hmac-sha1.m4">hmac-sha1.m4</A><BR><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_GC_HMAC_SHA1
4143         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4144       </TR>
4145       <TR>
4146         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md2"></A><A HREF="modules/gc-md2">gc-md2</A>
4147         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4148         <TD ALIGN=LEFT VALIGN=TOP>---
4149         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md2.m4">gc-md2.m4</A><BR>gl_GC_MD2
4150         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc<BR>minmax<BR>md2
4151       </TR>
4152       <TR>
4153         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md4"></A><A HREF="modules/gc-md4">gc-md4</A>
4154         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4155         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md4.h">md4.h</A><BR><A HREF="lib/md4.c">md4.c</A>
4156         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md4.m4">gc-md4.m4</A><BR><A HREF="m4/md4.m4">md4.m4</A><BR>gl_GC_MD4
4157         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4158       </TR>
4159       <TR>
4160         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md5"></A><A HREF="modules/gc-md5">gc-md5</A>
4161         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4162         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.h">md5.h</A><BR><A HREF="lib/md5.c">md5.c</A>
4163         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md5.m4">gc-md5.m4</A><BR><A HREF="m4/md5.m4">md5.m4</A><BR>gl_GC_MD5
4164         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4165       </TR>
4166       <TR>
4167         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-pbkdf2-sha1"></A><A HREF="modules/gc-pbkdf2-sha1">gc-pbkdf2-sha1</A>
4168         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4169         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gc-pbkdf2-sha1.c">gc-pbkdf2-sha1.c</A>
4170         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-pbkdf2-sha1.m4">gc-pbkdf2-sha1.m4</A><BR>gl_GC_PBKDF2_SHA1
4171         <TD ALIGN=LEFT VALIGN=TOP>gc<BR>gc-hmac-sha1
4172       </TR>
4173       <TR>
4174         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-random"></A><A HREF="modules/gc-random">gc-random</A>
4175         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4176         <TD ALIGN=LEFT VALIGN=TOP>---
4177         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-random.m4">gc-random.m4</A><BR>gl_GC_RANDOM
4178         <TD ALIGN=LEFT VALIGN=TOP>gc
4179       </TR>
4180       <TR>
4181         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-rijndael"></A><A HREF="modules/gc-rijndael">gc-rijndael</A>
4182         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4183         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rijndael-alg-fst.c">rijndael-alg-fst.c</A><BR><A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A><BR><A HREF="lib/rijndael-api-fst.c">rijndael-api-fst.c</A><BR><A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>
4184         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-rijndael.m4">gc-rijndael.m4</A><BR><A HREF="m4/rijndael.m4">rijndael.m4</A><BR>gl_GC_RIJNDAEL
4185         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4186       </TR>
4187       <TR>
4188         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-sha1"></A><A HREF="modules/gc-sha1">gc-sha1</A>
4189         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
4190         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.h">sha1.h</A><BR><A HREF="lib/sha1.c">sha1.c</A>
4191         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-sha1.m4">gc-sha1.m4</A><BR><A HREF="m4/sha1.m4">sha1.m4</A><BR>gl_GC_SHA1
4192         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
4193       </TR>
4194       <TR>
4195         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethrxtime"></A><A HREF="modules/gethrxtime">gethrxtime</A>
4196         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xtime.h">xtime.h</A>"
4197         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethrxtime.c">gethrxtime.c</A><BR><A HREF="lib/gethrxtime.h">gethrxtime.h</A>
4198         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethrxtime.m4">gethrxtime.m4</A><BR><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR>gl_GETHRXTIME
4199         <TD ALIGN=LEFT VALIGN=TOP>gettime<BR>extensions
4200       </TR>
4201       <TR>
4202         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=havelib"></A><A HREF="modules/havelib">havelib</A>
4203         <TD ALIGN=LEFT VALIGN=TOP>---
4204         <TD ALIGN=LEFT VALIGN=TOP>---
4205         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lib-ld.m4">lib-ld.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR><A HREF="m4/lib-prefix.m4">lib-prefix.m4</A>
4206         <TD ALIGN=LEFT VALIGN=TOP>---
4207       </TR>
4208       <TR>
4209         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hmac-md5"></A><A HREF="modules/hmac-md5">hmac-md5</A>
4210         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hmac.h">hmac.h</A>"
4211         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hmac-md5.c">hmac-md5.c</A>
4212         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hmac-md5.m4">hmac-md5.m4</A><BR>gl_HMAC_MD5
4213         <TD ALIGN=LEFT VALIGN=TOP>memxor<BR>md5
4214       </TR>
4215       <TR>
4216         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hmac-sha1"></A><A HREF="modules/hmac-sha1">hmac-sha1</A>
4217         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hmac.h">hmac.h</A>"
4218         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hmac-sha1.c">hmac-sha1.c</A>
4219         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hmac-sha1.m4">hmac-sha1.m4</A><BR>gl_HMAC_SHA1
4220         <TD ALIGN=LEFT VALIGN=TOP>memxor<BR>sha1
4221       </TR>
4222       <TR>
4223         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inttypes"></A><A HREF="modules/inttypes">inttypes</A>
4224         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/inttypes.h.html">inttypes.h</A>&gt;
4225         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inttypes.h">inttypes.h</A>
4226         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/include_next.m4">include_next.m4</A><BR><A HREF="m4/absolute-header.m4">absolute-header.m4</A><BR><A HREF="m4/_inttypes_h.m4">_inttypes_h.m4</A><BR>gl_INTTYPES_H
4227         <TD ALIGN=LEFT VALIGN=TOP>---
4228       </TR>
4229       <TR>
4230         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javacomp-script"></A><A HREF="modules/javacomp-script">javacomp-script</A>
4231         <TD ALIGN=LEFT VALIGN=TOP>---
4232         <TD ALIGN=LEFT VALIGN=TOP>---
4233         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javacomp.m4">javacomp.m4</A><BR># You need to invoke gt_JAVACOMP yourself, possibly with arguments.<BR>AC_CONFIG_FILES([javacomp.sh:build-aux/javacomp.sh.in])
4234         <TD ALIGN=LEFT VALIGN=TOP>---
4235       </TR>
4236       <TR>
4237         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=maintainer-makefile"></A><A HREF="modules/maintainer-makefile">maintainer-makefile</A>
4238         <TD ALIGN=LEFT VALIGN=TOP>---
4239         <TD ALIGN=LEFT VALIGN=TOP>---
4240         <TD ALIGN=LEFT VALIGN=TOP>---
4241         <TD ALIGN=LEFT VALIGN=TOP>---
4242       </TR>
4243       <TR>
4244         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md2"></A><A HREF="modules/md2">md2</A>
4245         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md2.h">md2.h</A>"
4246         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md2.c">md2.c</A>
4247         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md2.m4">md2.m4</A><BR>gl_MD2
4248         <TD ALIGN=LEFT VALIGN=TOP>minmax
4249       </TR>
4250       <TR>
4251         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md4"></A><A HREF="modules/md4">md4</A>
4252         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md4.h">md4.h</A>"
4253         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md4.c">md4.c</A>
4254         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md4.m4">md4.m4</A><BR>gl_MD4
4255         <TD ALIGN=LEFT VALIGN=TOP>stdint
4256       </TR>
4257       <TR>
4258         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memxor"></A><A HREF="modules/memxor">memxor</A>
4259         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memxor.h">memxor.h</A>"
4260         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memxor.c">memxor.c</A>
4261         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_MEMXOR
4262         <TD ALIGN=LEFT VALIGN=TOP>---
4263       </TR>
4264       <TR>
4265         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=netinet_in"></A><A HREF="modules/netinet_in">netinet_in</A>
4266         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/netinet/in.h.html">netinet/in.h</A>&gt;
4267         <TD ALIGN=LEFT VALIGN=TOP>---
4268         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/netinet_in_h.m4">netinet_in_h.m4</A><BR>gl_HEADER_NETINET_IN
4269         <TD ALIGN=LEFT VALIGN=TOP>sys_socket
4270       </TR>
4271       <TR>
4272         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=openat"></A><A HREF="modules/openat">openat</A>
4273         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/openat.h">openat.h</A>"
4274         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/at-func.c">at-func.c</A><BR><A HREF="lib/mkdirat.c">mkdirat.c</A><BR><A HREF="lib/openat.c">openat.c</A><BR><A HREF="lib/openat-die.c">openat-die.c</A><BR><A HREF="lib/openat-priv.h">openat-priv.h</A>
4275         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/openat.m4">openat.m4</A><BR>gl_FUNC_OPENAT
4276         <TD ALIGN=LEFT VALIGN=TOP>save-cwd<BR>gettext-h<BR>dirname<BR>extensions<BR>intprops
4277       </TR>
4278       <TR>
4279         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=read-file"></A><A HREF="modules/read-file">read-file</A>
4280         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/read-file.h">read-file.h</A>"
4281         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/read-file.c">read-file.c</A>
4282         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/read-file.m4">read-file.m4</A><BR>gl_FUNC_READ_FILE
4283         <TD ALIGN=LEFT VALIGN=TOP>---
4284       </TR>
4285       <TR>
4286         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rijndael"></A><A HREF="modules/rijndael">rijndael</A>
4287         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A>"<BR>#include "<A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>"
4288         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rijndael-alg-fst.c">rijndael-alg-fst.c</A><BR><A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A><BR><A HREF="lib/rijndael-api-fst.c">rijndael-api-fst.c</A><BR><A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>
4289         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rijndael.m4">rijndael.m4</A><BR>gl_RIJNDAEL
4290         <TD ALIGN=LEFT VALIGN=TOP>stdint
4291       </TR>
4292       <TR>
4293         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=same-inode"></A><A HREF="modules/same-inode">same-inode</A>
4294         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/same-inode.h">same-inode.h</A>"
4295         <TD ALIGN=LEFT VALIGN=TOP>---
4296         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/same-inode.m4">same-inode.m4</A><BR>gl_SAME_INODE
4297         <TD ALIGN=LEFT VALIGN=TOP>---
4298       </TR>
4299       <TR>
4300         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=socklen"></A><A HREF="modules/socklen">socklen</A>
4301         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;<BR>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/socket.h.html">sys/socket.h</A>&gt;
4302         <TD ALIGN=LEFT VALIGN=TOP>---
4303         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/socklen.m4">socklen.m4</A><BR>gl_TYPE_SOCKLEN_T
4304         <TD ALIGN=LEFT VALIGN=TOP>sys_socket
4305       </TR>
4306       <TR>
4307         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stat-macros"></A><A HREF="modules/stat-macros">stat-macros</A>
4308         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stat-macros.h">stat-macros.h</A>"
4309         <TD ALIGN=LEFT VALIGN=TOP>---
4310         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stat-macros.m4">stat-macros.m4</A><BR>gl_STAT_MACROS
4311         <TD ALIGN=LEFT VALIGN=TOP>---
4312       </TR>
4313       <TR>
4314         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sys_select"></A><A HREF="modules/sys_select">sys_select</A>
4315         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/select.h.html">sys/select.h</A>&gt;
4316         <TD ALIGN=LEFT VALIGN=TOP>---
4317         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sys_select_h.m4">sys_select_h.m4</A><BR>gl_HEADER_SYS_SELECT
4318         <TD ALIGN=LEFT VALIGN=TOP>sys_socket
4319       </TR>
4320       <TR>
4321         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sys_socket"></A><A HREF="modules/sys_socket">sys_socket</A>
4322         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;<BR>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/socket.h.html">sys/socket.h</A>&gt;
4323         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/socket_.h">socket_.h</A>
4324         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sys_socket_h.m4">sys_socket_h.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_HEADER_SYS_SOCKET
4325         <TD ALIGN=LEFT VALIGN=TOP>---
4326       </TR>
4327     </TABLE>
4328     <H2>Lone files - please create new modules containing them</H2>
4329     <PRE>
4330 <A HREF="lib/progreloc.c">lib/progreloc.c</A>
4331 <A HREF="m4/afs.m4">m4/afs.m4</A>
4332 <A HREF="m4/gnulib-tool.m4">m4/gnulib-tool.m4</A>
4333 <A HREF="m4/host-os.m4">m4/host-os.m4</A>
4334 <A HREF="m4/isc-posix.m4">m4/isc-posix.m4</A>
4335 <A HREF="m4/jm-winsz1.m4">m4/jm-winsz1.m4</A>
4336 <A HREF="m4/jm-winsz2.m4">m4/jm-winsz2.m4</A>
4337 <A HREF="m4/link-follow.m4">m4/link-follow.m4</A>
4338 <A HREF="m4/onceonly.m4">m4/onceonly.m4</A>
4339 <A HREF="m4/perl.m4">m4/perl.m4</A>
4340 <A HREF="m4/ptrdiff_max.m4">m4/ptrdiff_max.m4</A>
4341 <A HREF="m4/rmdir-errno.m4">m4/rmdir-errno.m4</A>
4342 <A HREF="m4/st_dm_mode.m4">m4/st_dm_mode.m4</A>
4343 <A HREF="m4/uint32_t.m4">m4/uint32_t.m4</A>
4344 <A HREF="m4/uintptr_t.m4">m4/uintptr_t.m4</A>
4345 <A HREF="m4/unlink-busy.m4">m4/unlink-busy.m4</A>
4346 <A HREF="m4/uptime.m4">m4/uptime.m4</A>
4347 <A HREF="m4/warning.m4">m4/warning.m4</A>
4348 </PRE>
4349     <H2>Future developments</H2>
4350     Ideally a module could consist of:
4351     <UL>
4352       <LI>A header file: lib/<VAR>module</VAR>.h
4353       <LI>One or more implementation files: lib/<VAR>module</VAR>.c et al.
4354       <LI>One or more autoconf macro files: m4/<VAR>module</VAR>.m4 et al.
4355       <LI>A configure.ac fragment, Makefile.am fragment, dependency list: modules/<VAR>module</VAR>
4356       <LI>A testsuite: source files in tests/ and metainformation (a configure.ac fragment, Makefile.am fragment, dependency list) in modules/<VAR>module</VAR>-tests
4357       <LI>Some documentation
4358       <LI>A POT file and some PO files
4359     </UL>
4360     <HR>
4361     Generated from <CODE>MODULES.html.sh</CODE> on 19 August 2006.
4362   </BODY>
4363 </HTML>