Resolve clash between stdint.m4 and uintmax_t.m4.
[gnulib.git] / MODULES.html
1 <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 3.2//EN">
2 <HTML>
3   <HEAD>
4     <TITLE>Gnulib Module List</TITLE>
5     <META NAME="keywords" CONTENT="acl, alloca, alloca-opt, allocsa, arcfour, arctwo, argmatch, argp, argz, assert, atexit, backupfile, base64, bcopy, binary-io, byteswap, c-bs-a, c-ctype, c-stack, c-strcase, c-strcasestr, c-strtod, c-strtold, calloc, canon-host, canonicalize, chdir-long, check-version, chown, classpath, cloexec, closeout, copy-file, crc, csharpcomp, csharpcomp-script, csharpexec, cycle-check, des, diacrit, dirfd, dirname, dummy, dup2, eealloc, error, euidaccess, exclude, execute, exit, exitfail, extensions, fatal-signal, fcntl-safer, file-type, fileblocks, filemode, filenamecat, findprog, fnmatch, fnmatch-gnu, fnmatch-posix, fpending, fprintftime, free, fsusage, ftruncate, fts, fts-lgpl, full-read, full-write, fwriteerror, gc, gc-arcfour, gc-arctwo, gc-des, gc-hmac-md5, gc-hmac-sha1, gc-md2, gc-md4, gc-md5, gc-pbkdf2-sha1, gc-random, gc-rijndael, gc-sha1, gcd, getaddrinfo, getcwd, getdate, getdelim, getdomainname, getgroups, gethostname, gethrxtime, getline, getloadavg, getlogin_r, getndelim2, getnline, getopt, getpagesize, getpass, getpass-gnu, getsubopt, gettext, gettext-h, gettime, gettimeofday, getugroups, getusershell, glob, group-member, hard-locale, hash, hash-pjw, havelib, hmac-md5, hmac-sha1, human, iconv, iconvme, idcache, inet_ntop, inet_pton, intprops, inttostr, inttypes, isdir, javacomp, javacomp-script, javaexec, lchown, ldd, lib-ignore, linebreak, linebuffer, localcharset, lock, long-options, lstat, maintainer-makefile, malloc, mathl, mbchar, mbfile, mbiter, mbswidth, mbuiter, md2, md4, md5, memcasecmp, memchr, memcmp, memcoll, memcpy, memmem, memmove, mempcpy, memrchr, memset, memxor, minmax, mkdir, mkdir-p, mkdtemp, mkstemp, mktime, modechange, mountlist, nanosleep, obstack, openat, pagealign_alloc, pathmax, pathname, physmem, pipe, poll, posixtm, posixver, progname, putenv, quote, quotearg, raise, read-file, readline, readlink, readtokens, readtokens0, readutmp, realloc, regex, rename, restrict, rijndael, rmdir, rpmatch, safe-read, safe-write, same, save-cwd, savedir, setenv, settime, sh-quote, sha1, sig2str, size_max, snprintf, socklen, ssize_t, stat-macros, stat-time, stdarg, stdbool, stdint, stdio-safer, stpcpy, stpncpy, strcase, strcasestr, strchrnul, strcspn, strdup, strerror, strftime, strndup, strnlen, strnlen1, strpbrk, strsep, strstr, strtod, strtoimax, strtok_r, strtol, strtoll, strtoul, strtoull, strtoumax, strverscmp, sys_socket, sysexits, time_r, timegm, timespec, tls, tzset, ucs4-utf16, ucs4-utf8, ullong_max, unicodeio, unistd, unistd-safer, unlinkdir, unlocked-io, userspec, utf16-ucs4, utf8-ucs4, utime, utimecmp, utimens, vasnprintf, vasprintf, verify, version-etc, version-etc-fsf, visibility, vsnprintf, wait-process, xalloc, xalloc-die, xallocsa, xgetcwd, xgetdomainname, xgethostname, xmemcoll, xnanosleep, xreadlink, xsetenv, xsize, xstrndup, xstrtod, xstrtoimax, xstrtol, xstrtoumax, xvasprintf, yesno">
6   </HEAD>
7   <BODY>
8     <H1>Gnulib Module List</H1>
9     This is a list of the modules which make up gnulib, with dependencies.
10     <H2><A HREF="#ansic_sup">Support for systems lacking ANSI C 89</A></H2>
11     <TABLE>
12       <TR WIDTH="100%">
13         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-bs-a">c-bs-a</A>
14         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine portability of "\a".
15       </TR>
16       <TR WIDTH="100%">
17         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=assert">assert</A>
18         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Allow the installer to disable assertions through "configure --disable-assert".
19       </TR>
20       <TR WIDTH="100%">
21         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dummy">dummy</A>
22         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A dummy file, to make sure the library is non-empty.
23       </TR>
24       <TR WIDTH="100%">
25         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exit">exit</A>
26         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/exit.html">exit</A>() function: program termination.
27       </TR>
28       <TR WIDTH="100%">
29         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=atexit">atexit</A>
30         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/atexit.html">atexit</A>() function: register a function to be called at program termination.
31       </TR>
32       <TR WIDTH="100%">
33         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtod">strtod</A>
34         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtod.html">strtod</A>() function: convert string to 'double'.
35       </TR>
36       <TR WIDTH="100%">
37         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtol">strtol</A>
38         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtol.html">strtol</A>() function: convert string to 'long'.
39       </TR>
40       <TR WIDTH="100%">
41         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoul">strtoul</A>
42         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoul.html">strtoul</A>() function: convert string to 'unsigned long'.
43       </TR>
44       <TR WIDTH="100%">
45         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memchr">memchr</A>
46         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memchr.html">memchr</A>() function: scan memory for a byte.
47       </TR>
48       <TR WIDTH="100%">
49         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcmp">memcmp</A>
50         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcmp.html">memcmp</A>() function: compare memory areas.
51       </TR>
52       <TR WIDTH="100%">
53         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcpy">memcpy</A>
54         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcpy.html">memcpy</A>() function: copy memory area.
55       </TR>
56       <TR WIDTH="100%">
57         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmove">memmove</A>
58         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memmove.html">memmove</A>() function: copy memory area.
59       </TR>
60       <TR WIDTH="100%">
61         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memset">memset</A>
62         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memset.html">memset</A>() function: fill memory with a constant byte.
63       </TR>
64       <TR WIDTH="100%">
65         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcspn">strcspn</A>
66         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strcspn.html">strcspn</A>() function: search a string for any of a set of characters.
67       </TR>
68       <TR WIDTH="100%">
69         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strpbrk">strpbrk</A>
70         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strpbrk.html">strpbrk</A>() function: search a string for any of a set of characters.
71       </TR>
72       <TR WIDTH="100%">
73         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strstr">strstr</A>
74         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strstr.html">strstr</A>() function: search for a substring in a string.
75       </TR>
76       <TR WIDTH="100%">
77         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strerror">strerror</A>
78         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strerror.html">strerror</A>() function: return string describing error code.
79       </TR>
80       <TR WIDTH="100%">
81         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mktime">mktime</A>
82         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mktime.html">mktime</A>() function: convert broken-down time to linear time.
83       </TR>
84     </TABLE>
85     Most of these modules are not listed among dependencies below, for simplicity.
86     <H2><A HREF="#ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
87     <H3><A HREF="#ansic_enh_assert_diagnostics">Diagnostics &lt;assert.h&gt;</A></H3>
88     <TABLE>
89       <TR WIDTH="100%">
90         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=verify">verify</A>
91         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile-time assert-like macros.
92       </TR>
93     </TABLE>
94     <H3><A HREF="#ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
95     <TABLE>
96       <TR WIDTH="100%">
97         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=calloc">calloc</A>
98         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/calloc.html">calloc</A>() function that is glibc compatible.
99       </TR>
100       <TR WIDTH="100%">
101         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=eealloc">eealloc</A>
102         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with expensive empty allocations (glibc compatible).
103       </TR>
104       <TR WIDTH="100%">
105         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=free">free</A>
106         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Work around incompatibility on older systems where free (NULL) fails.
107       </TR>
108       <TR WIDTH="100%">
109         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=malloc">malloc</A>
110         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/malloc.html">malloc</A>() function that is glibc compatible.
111       </TR>
112       <TR WIDTH="100%">
113         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=realloc">realloc</A>
114         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/realloc.html">realloc</A>() function that is glibc compatible.
115       </TR>
116       <TR WIDTH="100%">
117         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pagealign_alloc">pagealign_alloc</A>
118         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation aligned on page boundaries.
119       </TR>
120     </TABLE>
121     <H3><A HREF="#ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
122     <TABLE>
123       <TR WIDTH="100%">
124         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fprintftime">fprintftime</A>
125         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">like nstrftime, but output the formatted date to a FILE* stream
126       </TR>
127       <TR WIDTH="100%">
128         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strftime">strftime</A>
129         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">nstrftime() function: convert date and time to string, with GNU extensions.
130       </TR>
131     </TABLE>
132     <H2><A HREF="#ansic_ext">Extra functions based on ANSI C 89</A></H2>
133     <H3><A HREF="#ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
134     <TABLE>
135       <TR WIDTH="100%">
136         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsize">xsize</A>
137         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Checked size_t computations.
138       </TR>
139       <TR WIDTH="100%">
140         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc">xalloc</A>
141         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with out-of-memory checking.  Also see xalloc-die.
142       </TR>
143       <TR WIDTH="100%">
144         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc-die">xalloc-die</A>
145         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Report a memory allocation failure and exit.
146       </TR>
147       <TR WIDTH="100%">
148         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca">alloca</A>
149         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack.
150       </TR>
151       <TR WIDTH="100%">
152         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca-opt">alloca-opt</A>
153         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack, as an optional optimization.
154 It allows using the alloca() macro if and only if the autoconf tests define
155 HAVE_ALLOCA.
156       </TR>
157       <TR WIDTH="100%">
158         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=allocsa">allocsa</A>
159         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation.
160       </TR>
161       <TR WIDTH="100%">
162         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xallocsa">xallocsa</A>
163         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation with out of memory checking.
164       </TR>
165     </TABLE>
166     <H3><A HREF="#ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
167     <TABLE>
168       <TR WIDTH="100%">
169         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gcd">gcd</A>
170         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Greatest common divisor.
171       </TR>
172       <TR WIDTH="100%">
173         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=minmax">minmax</A>
174         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">MIN, MAX macros.
175       </TR>
176     </TABLE>
177     <H3><A HREF="#ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
178     <TABLE>
179       <TR WIDTH="100%">
180         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=putenv">putenv</A>
181         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/putenv.html">putenv</A>() function: change or add an environment variable.
182       </TR>
183       <TR WIDTH="100%">
184         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=setenv">setenv</A>
185         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/setenv.html">setenv</A>() function: set an environment variable.
186 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
187       </TR>
188       <TR WIDTH="100%">
189         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsetenv">xsetenv</A>
190         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">xsetenv() function: set an environment variable, with out-of-memory checking.
191 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
192       </TR>
193     </TABLE>
194     <H3><A HREF="#ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
195     <TABLE>
196       <TR WIDTH="100%">
197         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-ctype">c-ctype</A>
198         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character handling in C locale.
199       </TR>
200     </TABLE>
201     <H3><A HREF="#ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
202     <TABLE>
203       <TR WIDTH="100%">
204         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=bcopy">bcopy</A>
205         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Old BSD <A HREF="http://www.opengroup.org/susv3xsh/bcopy.html">bcopy</A>() function: copy memory area.
206       </TR>
207       <TR WIDTH="100%">
208         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmem">memmem</A>
209         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memmem() function: locate first substring in a buffer.
210       </TR>
211       <TR WIDTH="100%">
212         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mempcpy">mempcpy</A>
213         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mempcpy() function: copy memory area, return point after last written byte.
214       </TR>
215       <TR WIDTH="100%">
216         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memrchr">memrchr</A>
217         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memrchr() function: scan memory for a byte, from the right end.
218       </TR>
219       <TR WIDTH="100%">
220         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpcpy">stpcpy</A>
221         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpcpy() function: copy a string, returning a pointer to its end.
222       </TR>
223       <TR WIDTH="100%">
224         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpncpy">stpncpy</A>
225         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpncpy() function: copy a size-bounded string, returning a pointer to its end.
226       </TR>
227       <TR WIDTH="100%">
228         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strcase">c-strcase</A>
229         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive string comparison functions in C locale.
230       </TR>
231       <TR WIDTH="100%">
232         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcase">strcase</A>
233         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive string comparison functions.
234       </TR>
235       <TR WIDTH="100%">
236         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strcasestr">c-strcasestr</A>
237         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive searching in a string in C locale.
238       </TR>
239       <TR WIDTH="100%">
240         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcasestr">strcasestr</A>
241         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strcasestr() function: case-insensitive search for a substring in a string.
242       </TR>
243       <TR WIDTH="100%">
244         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strchrnul">strchrnul</A>
245         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strchrnul(): Find the first occurrence of C in S or the final NUL byte.
246       </TR>
247       <TR WIDTH="100%">
248         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strdup">strdup</A>
249         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strdup.html">strdup</A>() function: duplicate a string.
250       </TR>
251       <TR WIDTH="100%">
252         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen">strnlen</A>
253         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strnlen() function: determine the length of a size-bounded string.
254       </TR>
255       <TR WIDTH="100%">
256         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen1">strnlen1</A>
257         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quick string length estimation.
258       </TR>
259       <TR WIDTH="100%">
260         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strndup">strndup</A>
261         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strndup() function: duplicate a size-bounded string.
262       </TR>
263       <TR WIDTH="100%">
264         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strsep">strsep</A>
265         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strsep() function: extract token from string.
266       </TR>
267       <TR WIDTH="100%">
268         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrndup">xstrndup</A>
269         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Duplicate a bounded initial segment of a string, with out-of-memory checking.
270       </TR>
271     </TABLE>
272     <H3><A HREF="#ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
273     <TABLE>
274       <TR WIDTH="100%">
275         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtod">c-strtod</A>
276         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to double in C locale.
277       </TR>
278       <TR WIDTH="100%">
279         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtold">c-strtold</A>
280         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to long double in C locale.
281       </TR>
282       <TR WIDTH="100%">
283         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtod">xstrtod</A>
284         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'double', with error checking.
285       </TR>
286       <TR WIDTH="100%">
287         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtol">xstrtol</A>
288         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'long' or 'unsigned long', with error checking.
289       </TR>
290     </TABLE>
291     <H3><A HREF="#ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
292     <TABLE>
293       <TR WIDTH="100%">
294         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdate">getdate</A>
295         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string to linear time.
296       </TR>
297       <TR WIDTH="100%">
298         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timegm">timegm</A>
299         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert calendar time to simple time, inverse of mktime.
300       </TR>
301       <TR WIDTH="100%">
302         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tzset">tzset</A>
303         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">tzset - initialize time conversion information
304       </TR>
305     </TABLE>
306     <H3><A HREF="#ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
307     <TABLE>
308       <TR WIDTH="100%">
309         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlocked-io">unlocked-io</A>
310         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable faster, non-thread-safe stdio functions if available.
311       </TR>
312       <TR WIDTH="100%">
313         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fwriteerror">fwriteerror</A>
314         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Detect write error on a stream.
315       </TR>
316       <TR WIDTH="100%">
317         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasnprintf">vasnprintf</A>
318         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation and bounded output size.
319       </TR>
320       <TR WIDTH="100%">
321         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasprintf">vasprintf</A>
322         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation.
323       </TR>
324       <TR WIDTH="100%">
325         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xvasprintf">xvasprintf</A>
326         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vasprintf and asprintf with out-of-memory checking.  Also see xalloc-die.
327       </TR>
328     </TABLE>
329     <H3><A HREF="#ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
330     <TABLE>
331       <TR WIDTH="100%">
332         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fatal-signal">fatal-signal</A>
333         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Emergency actions in case of a fatal signal.
334       </TR>
335       <TR WIDTH="100%">
336         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=raise">raise</A>
337         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Send a signal to the executing process.
338       </TR>
339     </TABLE>
340     <H3><A HREF="#ansic_ext_argv">Command-line arguments</A></H3>
341     <TABLE>
342       <TR WIDTH="100%">
343         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argmatch">argmatch</A>
344         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Search for an exact or abbreviated match of a string in an array of strings.
345       </TR>
346       <TR WIDTH="100%">
347         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc">version-etc</A>
348         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Print --version output.
349       </TR>
350       <TR WIDTH="100%">
351         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc-fsf">version-etc-fsf</A>
352         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copyright variable for FSF projects
353       </TR>
354       <TR WIDTH="100%">
355         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=long-options">long-options</A>
356         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Handle --help and --version options.
357       </TR>
358     </TABLE>
359     <H3><A HREF="#ansic_ext_crypto">Cryptographic computations</A></H3>
360     <TABLE>
361       <TR WIDTH="100%">
362         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=md5">md5</A>
363         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute MD5 checksum.
364       </TR>
365       <TR WIDTH="100%">
366         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sha1">sha1</A>
367         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute SHA1 checksum.
368       </TR>
369     </TABLE>
370     <H3><A HREF="#ansic_ext_misc">Misc</A></H3>
371     <TABLE>
372       <TR WIDTH="100%">
373         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=base64">base64</A>
374         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Encode binary data using printable characters (base64).
375       </TR>
376       <TR WIDTH="100%">
377         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=check-version">check-version</A>
378         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Check version string compatibility.
379       </TR>
380       <TR WIDTH="100%">
381         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=diacrit">diacrit</A>
382         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Decomposition of composed ISO-8859-1 characters.
383       </TR>
384       <TR WIDTH="100%">
385         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getline">getline</A>
386         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
387       </TR>
388       <TR WIDTH="100%">
389         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdelim">getdelim</A>
390         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read character delimited data from a stream.
391       </TR>
392       <TR WIDTH="100%">
393         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getnline">getnline</A>
394         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, with bounded memory allocation.
395       </TR>
396       <TR WIDTH="100%">
397         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getndelim2">getndelim2</A>
398         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, stopping at one of 2 delimiters, with bounded
399 memory allocation.
400       </TR>
401       <TR WIDTH="100%">
402         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebuffer">linebuffer</A>
403         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
404       </TR>
405       <TR WIDTH="100%">
406         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=obstack">obstack</A>
407         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation, optimized for stack-like allocation patterns.
408       </TR>
409       <TR WIDTH="100%">
410         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash-pjw">hash-pjw</A>
411         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute a hash value for a NUL-terminated string.
412       </TR>
413       <TR WIDTH="100%">
414         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash">hash</A>
415         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parametrizable hash table.
416       </TR>
417       <TR WIDTH="100%">
418         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readline">readline</A>
419         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Simple implementation of readline.
420       </TR>
421       <TR WIDTH="100%">
422         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens">readtokens</A>
423         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read tokens from a stream.
424       </TR>
425       <TR WIDTH="100%">
426         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens0">readtokens0</A>
427         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read NUL-separated tokens from a stream.
428       </TR>
429       <TR WIDTH="100%">
430         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strverscmp">strverscmp</A>
431         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compare strings holding version numbers.
432       </TR>
433     </TABLE>
434     <H2><A HREF="#isoc_sup">Support for systems lacking ISO C 99</A></H2>
435     <H3><A HREF="#isoc_sup_keywords">Keywords</A></H3>
436     <TABLE>
437       <TR WIDTH="100%">
438         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=restrict">restrict</A>
439         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">The C99 'restrict' keyword.
440       </TR>
441     </TABLE>
442     <H3><A HREF="#isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
443     <TABLE>
444       <TR WIDTH="100%">
445         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ullong_max">ullong_max</A>
446         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">The maximum unsigned long long value, as a preprocessor constant.
447       </TR>
448       <TR WIDTH="100%">
449         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=size_max">size_max</A>
450         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Provide SIZE_MAX.
451       </TR>
452     </TABLE>
453     <H3><A HREF="#isoc_sup_stdarg">Variable arguments &lt;stdarg.h&gt;</A></H3>
454     <TABLE>
455       <TR WIDTH="100%">
456         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdarg">stdarg</A>
457         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdarg.h&gt; that conforms to C99.
458       </TR>
459     </TABLE>
460     <H3><A HREF="#isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
461     <TABLE>
462       <TR WIDTH="100%">
463         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdbool">stdbool</A>
464         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdbool.h&gt; that nearly conforms to C99.
465 (Nearly: casts to bool may not work.)
466       </TR>
467     </TABLE>
468     <H3><A HREF="#isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
469     <TABLE>
470       <TR WIDTH="100%">
471         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdint">stdint</A>
472         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdint.h&gt; that nearly conforms to C99.
473 (Nearly: {uint,int}_{fast,least}{8,16,32,64}_t may not correspond
474 to the fastest and smallest types available on the system.)
475       </TR>
476     </TABLE>
477     <H3><A HREF="#isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
478     <TABLE>
479       <TR WIDTH="100%">
480         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=snprintf">snprintf</A>
481         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/snprintf.html">snprintf</A>() function: print formatted output to a fixed length string
482       </TR>
483       <TR WIDTH="100%">
484         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vsnprintf">vsnprintf</A>
485         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/vsnprintf.html">vsnprintf</A>() function: print formatted output from an stdarg argument list
486 to a fixed length string
487       </TR>
488     </TABLE>
489     <H3><A HREF="#isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
490     <TABLE>
491       <TR WIDTH="100%">
492         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoll">strtoll</A>
493         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoll.html">strtoll</A>() function: convert string to 'long long'.
494       </TR>
495       <TR WIDTH="100%">
496         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoull">strtoull</A>
497         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoull.html">strtoull</A>() function: convert string to 'unsigned long long'.
498       </TR>
499     </TABLE>
500     <H3><A HREF="#isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
501     <TABLE>
502       <TR WIDTH="100%">
503         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoimax">strtoimax</A>
504         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoimax.html">strtoimax</A>() function: convert string to 'intmax_t'.
505       </TR>
506       <TR WIDTH="100%">
507         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoumax">strtoumax</A>
508         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoumax.html">strtoumax</A>() function: convert string to 'uintmax_t'.
509       </TR>
510     </TABLE>
511     <H3><A HREF="#isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
512     <TABLE>
513       <TR WIDTH="100%">
514         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mathl">mathl</A>
515         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">C99 functions for transcendental functions with long double arguments.
516       </TR>
517     </TABLE>
518     <H2><A HREF="#isoc_enh">Enhancements for ISO C 99 functions</A></H2>
519     <TABLE>
520     </TABLE>
521     <H2><A HREF="#isoc_ext">Extra functions based on ISO C 99</A></H2>
522     <H3><A HREF="#isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
523     <TABLE>
524       <TR WIDTH="100%">
525         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=intprops">intprops</A>
526         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Properties of integer types
527       </TR>
528       <TR WIDTH="100%">
529         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inttostr">inttostr</A>
530         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert integers to printable strings.
531       </TR>
532       <TR WIDTH="100%">
533         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoimax">xstrtoimax</A>
534         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'intmax_t', with error checking.
535       </TR>
536       <TR WIDTH="100%">
537         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoumax">xstrtoumax</A>
538         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'uintmax_t', with error checking.
539       </TR>
540     </TABLE>
541     <H3><A HREF="#isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
542     <TABLE>
543       <TR WIDTH="100%">
544         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbchar">mbchar</A>
545         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character data type.
546       </TR>
547       <TR WIDTH="100%">
548         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbiter">mbiter</A>
549         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Iterating through multibyte strings.
550       </TR>
551       <TR WIDTH="100%">
552         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbuiter">mbuiter</A>
553         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Iterating through multibyte strings.
554       </TR>
555       <TR WIDTH="100%">
556         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbfile">mbfile</A>
557         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character I/O.
558       </TR>
559     </TABLE>
560     <H2><A HREF="#posix_sup">Support for systems lacking POSIX:2001</A></H2>
561     <TABLE>
562       <TR WIDTH="100%">
563         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=chown">chown</A>
564         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/chown.html">chown</A>() function: change ownership of a file.
565       </TR>
566       <TR WIDTH="100%">
567         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dup2">dup2</A>
568         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/dup2.html">dup2</A>() function: duplicate an open file descriptor.
569       </TR>
570       <TR WIDTH="100%">
571         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ftruncate">ftruncate</A>
572         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/ftruncate.html">ftruncate</A>() function: truncate an open file to a specified length.
573       </TR>
574       <TR WIDTH="100%">
575         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getaddrinfo">getaddrinfo</A>
576         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getaddrinfo.html">getaddrinfo</A>() function: Get address information.
577       </TR>
578       <TR WIDTH="100%">
579         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getcwd">getcwd</A>
580         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory.
581       </TR>
582       <TR WIDTH="100%">
583         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getgroups">getgroups</A>
584         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getgroups.html">getgroups</A>() function: return the supplementary group IDs of the current process.
585       </TR>
586       <TR WIDTH="100%">
587         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gethostname">gethostname</A>
588         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gethostname.html">gethostname</A>() function: Return machine's hostname.
589       </TR>
590       <TR WIDTH="100%">
591         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getlogin_r">getlogin_r</A>
592         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getlogin_r.html">getlogin_r</A>() function: Get user name to a buffer allocated by the caller.
593       </TR>
594       <TR WIDTH="100%">
595         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getsubopt">getsubopt</A>
596         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getsubopt: Parse comma separate list into words.
597       </TR>
598       <TR WIDTH="100%">
599         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettimeofday">gettimeofday</A>
600         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gettimeofday.html">gettimeofday</A>() function: return current time.
601       </TR>
602       <TR WIDTH="100%">
603         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inet_ntop">inet_ntop</A>
604         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert internet address from internal to printable, presentable format.
605       </TR>
606       <TR WIDTH="100%">
607         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inet_pton">inet_pton</A>
608         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert internet address from presentation to internal, binary, format.
609       </TR>
610       <TR WIDTH="100%">
611         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir">mkdir</A>
612         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkdir.html">mkdir</A>() function: create a directory.
613       </TR>
614       <TR WIDTH="100%">
615         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkstemp">mkstemp</A>
616         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkstemp.html">mkstemp</A>() function: create a private temporary file.
617       </TR>
618       <TR WIDTH="100%">
619         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdtemp">mkdtemp</A>
620         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mkdtemp() function: create a private temporary directory.
621       </TR>
622       <TR WIDTH="100%">
623         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=poll">poll</A>
624         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/poll.html">poll</A>() function: wait for some event on a set of file descriptors.
625       </TR>
626       <TR WIDTH="100%">
627         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readlink">readlink</A>
628         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/readlink.html">readlink</A>() function: read the value of a symbolic link.
629       </TR>
630       <TR WIDTH="100%">
631         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lstat">lstat</A>
632         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lstat.html">lstat</A>() function: return information about a file or symbolic link.
633       </TR>
634       <TR WIDTH="100%">
635         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=time_r">time_r</A>
636         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Reentrant time functions like localtime_r.
637       </TR>
638       <TR WIDTH="100%">
639         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timespec">timespec</A>
640         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">struct timespec.
641       </TR>
642       <TR WIDTH="100%">
643         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=nanosleep">nanosleep</A>
644         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/nanosleep.html">nanosleep</A>() function: pause execution for a specified time.
645       </TR>
646       <TR WIDTH="100%">
647         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=regex">regex</A>
648         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Regular expression matching.
649       </TR>
650       <TR WIDTH="100%">
651         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rename">rename</A>
652         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rename.html">rename</A>() function: change the name or location of a file.
653       </TR>
654       <TR WIDTH="100%">
655         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rmdir">rmdir</A>
656         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rmdir.html">rmdir</A>() function: delete a directory.
657       </TR>
658       <TR WIDTH="100%">
659         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ssize_t">ssize_t</A>
660         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Define ssize_t if it does not already exist.
661       </TR>
662       <TR WIDTH="100%">
663         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtok_r">strtok_r</A>
664         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtok_r.html">strtok_r</A>() function: split string into tokens, thread safe.
665       </TR>
666       <TR WIDTH="100%">
667         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unistd">unistd</A>
668         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A &lt;unistd.h&gt; for systems lacking it.
669       </TR>
670       <TR WIDTH="100%">
671         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utime">utime</A>
672         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/utime.html">utime</A>() function: change access and/or modification times of a file.
673       </TR>
674     </TABLE>
675     <H2><A HREF="#posix_enh">Enhancements for POSIX:2001 functions</A></H2>
676     <TABLE>
677       <TR WIDTH="100%">
678         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirname">dirname</A>
679         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Extract specific portions of filenames.
680       </TR>
681       <TR WIDTH="100%">
682         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getopt">getopt</A>
683         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Process command line arguments.
684       </TR>
685       <TR WIDTH="100%">
686         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unistd-safer">unistd-safer</A>
687         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File descriptor functions that avoid clobbering STD{IN,OUT,ERR}_FILENO.
688       </TR>
689       <TR WIDTH="100%">
690         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch">fnmatch</A>
691         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">GNU <A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() implementation.
692       </TR>
693       <TR WIDTH="100%">
694         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-posix">fnmatch-posix</A>
695         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching.
696       </TR>
697       <TR WIDTH="100%">
698         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-gnu">fnmatch-gnu</A>
699         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching, with GNU extensions.
700       </TR>
701       <TR WIDTH="100%">
702         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=glob">glob</A>
703         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/glob.html">glob</A>() function: Search for files and directories with paths matching a
704 pattern, with GNU extensions.
705       </TR>
706       <TR WIDTH="100%">
707         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exclude">exclude</A>
708         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manage list of filenames or wildcard patterns for --exclude option processing.
709       </TR>
710     </TABLE>
711     <H2><A HREF="#posix_ext">Extra functions based on POSIX:2001</A></H2>
712     <H3><A HREF="#posix_ext_conv">Numeric conversion functions</A></H3>
713     <TABLE>
714       <TR WIDTH="100%">
715         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=human">human</A>
716         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a number (usually a file size) to a human readable string, possibly
717 with K/M/G suffix.
718       </TR>
719     </TABLE>
720     <H3><A HREF="#posix_ext_filesys">File system functions</A></H3>
721     <TABLE>
722       <TR WIDTH="100%">
723         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=acl">acl</A>
724         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Access control lists of files.  (Unportable.)
725       </TR>
726       <TR WIDTH="100%">
727         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=backupfile">backupfile</A>
728         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determination of the filename of a backup file, according to user environment
729 variables.
730       </TR>
731       <TR WIDTH="100%">
732         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canonicalize">canonicalize</A>
733         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the canonical absolute name of a given file.
734       </TR>
735       <TR WIDTH="100%">
736         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=copy-file">copy-file</A>
737         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copying of files.
738       </TR>
739       <TR WIDTH="100%">
740         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cycle-check">cycle-check</A>
741         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">help detect directory cycles efficiently
742       </TR>
743       <TR WIDTH="100%">
744         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fsusage">fsusage</A>
745         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return file system space usage info.
746       </TR>
747       <TR WIDTH="100%">
748         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirfd">dirfd</A>
749         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Retrieving the file descriptor of an open directory stream.  (Unportable.)
750       </TR>
751       <TR WIDTH="100%">
752         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=euidaccess">euidaccess</A>
753         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">euidaccess() function: check effective user's permissions for a file.
754       </TR>
755       <TR WIDTH="100%">
756         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=file-type">file-type</A>
757         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string describing the type of a file.
758       </TR>
759       <TR WIDTH="100%">
760         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fileblocks">fileblocks</A>
761         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Estimate number of blocks of a file, if 'struct stat' doesn't have st_blocks.
762       </TR>
763       <TR WIDTH="100%">
764         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filemode">filemode</A>
765         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string (?rwxrwxrwx format) describing the type and permissions of a
766 file.
767       </TR>
768       <TR WIDTH="100%">
769         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filenamecat">filenamecat</A>
770         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Concatenate two arbitrary file names.
771       </TR>
772       <TR WIDTH="100%">
773         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts">fts</A>
774         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy.
775       </TR>
776       <TR WIDTH="100%">
777         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts-lgpl">fts-lgpl</A>
778         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy (LPGL'ed version).
779       </TR>
780       <TR WIDTH="100%">
781         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=isdir">isdir</A>
782         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether a directory exists.
783       </TR>
784       <TR WIDTH="100%">
785         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lchown">lchown</A>
786         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lchown.html">lchown</A>() function: change ownership of a file.
787       </TR>
788       <TR WIDTH="100%">
789         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir-p">mkdir-p</A>
790         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ensure that a directory and its parents exist.
791       </TR>
792       <TR WIDTH="100%">
793         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=modechange">modechange</A>
794         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manipulation of mode changes specified by strings (e.g. as first argument of
795 chmod utility).
796       </TR>
797       <TR WIDTH="100%">
798         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mountlist">mountlist</A>
799         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return list of mounted file systems.
800       </TR>
801       <TR WIDTH="100%">
802         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathname">pathname</A>
803         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Construct a full pathname by concatenating a directory name, a relative
804 filename, and a suffix.
805       </TR>
806       <TR WIDTH="100%">
807         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathmax">pathmax</A>
808         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return maximum size of reasonable pathnames.  (Unportable: Hurd has no limit.)
809       </TR>
810       <TR WIDTH="100%">
811         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=same">same</A>
812         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether two pathnames refer to the same directory entry of the same
813 directory.
814       </TR>
815       <TR WIDTH="100%">
816         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=save-cwd">save-cwd</A>
817         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Save and restore the current working directory.
818       </TR>
819       <TR WIDTH="100%">
820         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=savedir">savedir</A>
821         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the entries of a directory (just the names) as an argz string.
822       </TR>
823       <TR WIDTH="100%">
824         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stat-time">stat-time</A>
825         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stat-related time functions
826       </TR>
827       <TR WIDTH="100%">
828         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlinkdir">unlinkdir</A>
829         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine (and maybe change) whether we can unlink directories.
830       </TR>
831       <TR WIDTH="100%">
832         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimecmp">utimecmp</A>
833         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">compare file time stamps
834       </TR>
835       <TR WIDTH="100%">
836         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimens">utimens</A>
837         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set file access and modification times.
838       </TR>
839       <TR WIDTH="100%">
840         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetcwd">xgetcwd</A>
841         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory, without size limitations.
842       </TR>
843       <TR WIDTH="100%">
844         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xreadlink">xreadlink</A>
845         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the contents of a symbolic link, without size limitations.
846       </TR>
847     </TABLE>
848     <H3><A HREF="#posix_ext_filedesc">File descriptor based Input/Output</A></H3>
849     <TABLE>
850       <TR WIDTH="100%">
851         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fcntl-safer">fcntl-safer</A>
852         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File descriptor functions that avoid clobbering STD{IN,OUT,ERR}_FILENO.
853       </TR>
854       <TR WIDTH="100%">
855         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-read">safe-read</A>
856         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that retries after interrupts.
857       </TR>
858       <TR WIDTH="100%">
859         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-write">safe-write</A>
860         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that retries after interrupts.
861       </TR>
862       <TR WIDTH="100%">
863         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-read">full-read</A>
864         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that reads all it is asked to read.
865       </TR>
866       <TR WIDTH="100%">
867         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-write">full-write</A>
868         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that writes all it is asked to write.
869       </TR>
870       <TR WIDTH="100%">
871         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=binary-io">binary-io</A>
872         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Binary mode I/O.
873       </TR>
874     </TABLE>
875     <H3><A HREF="#posix_ext_filestream">File stream based Input/Output</A></H3>
876     <TABLE>
877       <TR WIDTH="100%">
878         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fpending">fpending</A>
879         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of bytes waiting in the output buffer of a stream.
880       </TR>
881       <TR WIDTH="100%">
882         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=closeout">closeout</A>
883         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Close stdout, checking for errors.
884       </TR>
885       <TR WIDTH="100%">
886         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdio-safer">stdio-safer</A>
887         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File stream functions that avoid clobbering std{in,out,err}.
888       </TR>
889       <TR WIDTH="100%">
890         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass">getpass</A>
891         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password from /dev/tty.
892       </TR>
893       <TR WIDTH="100%">
894         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass-gnu">getpass-gnu</A>
895         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password of arbitrary length from /dev/tty.
896       </TR>
897     </TABLE>
898     <H3><A HREF="#posix_ext_uidgid">Users and groups</A></H3>
899     <TABLE>
900       <TR WIDTH="100%">
901         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getugroups">getugroups</A>
902         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the group IDs of a user.
903       </TR>
904       <TR WIDTH="100%">
905         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=group-member">group-member</A>
906         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current process has the permissions of a given group ID.
907       </TR>
908       <TR WIDTH="100%">
909         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=idcache">idcache</A>
910         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Username &lt;--&gt; uid and groupname &lt;--&gt; gid conversions, with cache for speed.
911       </TR>
912       <TR WIDTH="100%">
913         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=userspec">userspec</A>
914         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parse a `user:group' specifier (e.g. the first argument of chown utility).
915       </TR>
916     </TABLE>
917     <H3><A HREF="#posix_ext_datetime">Date and time</A></H3>
918     <TABLE>
919       <TR WIDTH="100%">
920         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettime">gettime</A>
921         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current time, with nanosecond resolution.
922       </TR>
923       <TR WIDTH="100%">
924         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=settime">settime</A>
925         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set the current time, with nanosecond resolution.
926       </TR>
927       <TR WIDTH="100%">
928         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixtm">posixtm</A>
929         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string (POSIX syntax) to linear time or broken-down time.
930       </TR>
931       <TR WIDTH="100%">
932         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xnanosleep">xnanosleep</A>
933         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">a more convenient interface to nanosleep
934       </TR>
935     </TABLE>
936     <H3><A HREF="#posix_ext_net">Networking functions</A></H3>
937     <TABLE>
938       <TR WIDTH="100%">
939         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgethostname">xgethostname</A>
940         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's hostname, without size limitations.
941       </TR>
942       <TR WIDTH="100%">
943         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canon-host">canon-host</A>
944         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Canonicalize a host name: return a name other hosts can understand, i.e.
945 usually the host name including FQDN.
946       </TR>
947     </TABLE>
948     <H3><A HREF="#posix_ext_thread">Multithreading</A></H3>
949     <TABLE>
950       <TR WIDTH="100%">
951         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lock">lock</A>
952         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locking in multithreaded situations.
953       </TR>
954       <TR WIDTH="100%">
955         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tls">tls</A>
956         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Thread-local storage in multithreaded situations.
957       </TR>
958     </TABLE>
959     <H3><A HREF="#posix_ext_i18n">Internationalization functions</A></H3>
960     <TABLE>
961       <TR WIDTH="100%">
962         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext">gettext</A>
963         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language.
964       </TR>
965       <TR WIDTH="100%">
966         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext-h">gettext-h</A>
967         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language if the gettext module is also used.
968       </TR>
969       <TR WIDTH="100%">
970         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconv">iconv</A>
971         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion.
972       </TR>
973       <TR WIDTH="100%">
974         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconvme">iconvme</A>
975         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion of strings made easy, uses iconv.
976       </TR>
977       <TR WIDTH="100%">
978         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=localcharset">localcharset</A>
979         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current locale's character encoding.
980       </TR>
981       <TR WIDTH="100%">
982         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hard-locale">hard-locale</A>
983         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current locale is different from the "C" locale.
984       </TR>
985       <TR WIDTH="100%">
986         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbswidth">mbswidth</A>
987         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of screen columns needed for a string.
988       </TR>
989       <TR WIDTH="100%">
990         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcasecmp">memcasecmp</A>
991         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive memory area comparison.
992       </TR>
993       <TR WIDTH="100%">
994         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcoll">memcoll</A>
995         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison.
996       </TR>
997       <TR WIDTH="100%">
998         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xmemcoll">xmemcoll</A>
999         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison, with error checking.
1000       </TR>
1001       <TR WIDTH="100%">
1002         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unicodeio">unicodeio</A>
1003         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Unicode character output to streams with locale dependent encoding.
1004       </TR>
1005       <TR WIDTH="100%">
1006         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rpmatch">rpmatch</A>
1007         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent classification of a response as matching "yes" or "no".
1008       </TR>
1009       <TR WIDTH="100%">
1010         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=yesno">yesno</A>
1011         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a response from the user, and its classification as matching "yes" or
1012 "no".
1013       </TR>
1014       <TR WIDTH="100%">
1015         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf8">ucs4-utf8</A>
1016         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-8.
1017       </TR>
1018       <TR WIDTH="100%">
1019         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf16">ucs4-utf16</A>
1020         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-16.
1021       </TR>
1022       <TR WIDTH="100%">
1023         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf8-ucs4">utf8-ucs4</A>
1024         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-8 to UCS-4.
1025       </TR>
1026       <TR WIDTH="100%">
1027         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf16-ucs4">utf16-ucs4</A>
1028         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-16 to UCS-4.
1029       </TR>
1030       <TR WIDTH="100%">
1031         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebreak">linebreak</A>
1032         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Line breaking (works also for East-Asian languages).
1033       </TR>
1034     </TABLE>
1035     <H3><A HREF="#posix_ext_exec">Executing programs</A></H3>
1036     <TABLE>
1037       <TR WIDTH="100%">
1038         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cloexec">cloexec</A>
1039         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set or clear the close-on-exec descriptor flag.
1040       </TR>
1041       <TR WIDTH="100%">
1042         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=findprog">findprog</A>
1043         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locating a program in PATH.
1044       </TR>
1045       <TR WIDTH="100%">
1046         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=wait-process">wait-process</A>
1047         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Waiting for a subprocess to finish.
1048       </TR>
1049       <TR WIDTH="100%">
1050         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=execute">execute</A>
1051         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of autonomous subprocesses.
1052       </TR>
1053       <TR WIDTH="100%">
1054         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pipe">pipe</A>
1055         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of subprocesses, communicating via pipes.
1056       </TR>
1057       <TR WIDTH="100%">
1058         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sh-quote">sh-quote</A>
1059         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Shell quoting.
1060       </TR>
1061     </TABLE>
1062     <H3><A HREF="#posix_ext_java">Java</A></H3>
1063     <TABLE>
1064       <TR WIDTH="100%">
1065         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=classpath">classpath</A>
1066         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Java CLASSPATH handling.
1067       </TR>
1068       <TR WIDTH="100%">
1069         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javacomp">javacomp</A>
1070         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a Java program.
1071       </TR>
1072       <TR WIDTH="100%">
1073         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javaexec">javaexec</A>
1074         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a Java program.
1075       </TR>
1076     </TABLE>
1077     <H3><A HREF="#posix_ext_csharp">C#</A></H3>
1078     <TABLE>
1079       <TR WIDTH="100%">
1080         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpcomp">csharpcomp</A>
1081         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a C# program.
1082       </TR>
1083       <TR WIDTH="100%">
1084         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpexec">csharpexec</A>
1085         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a C# program.
1086       </TR>
1087     </TABLE>
1088     <H3><A HREF="#posix_ext_misc">Misc</A></H3>
1089     <TABLE>
1090       <TR WIDTH="100%">
1091         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argp">argp</A>
1092         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Hierarchical processing of command line arguments.
1093       </TR>
1094       <TR WIDTH="100%">
1095         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argz">argz</A>
1096         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Argv style string arrays in a single null delimited char*.
1097       </TR>
1098       <TR WIDTH="100%">
1099         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=byteswap">byteswap</A>
1100         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Swap bytes of 16, 32 and 64 bit values.
1101       </TR>
1102       <TR WIDTH="100%">
1103         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exitfail">exitfail</A>
1104         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set exit status for fatal signal.
1105       </TR>
1106       <TR WIDTH="100%">
1107         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-stack">c-stack</A>
1108         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Stack overflow handling, causing program exit.
1109       </TR>
1110       <TR WIDTH="100%">
1111         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=error">error</A>
1112         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">error() and error_at_line() functions: Error reporting.
1113       </TR>
1114       <TR WIDTH="100%">
1115         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=extensions">extensions</A>
1116         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable extensions in standard headers
1117       </TR>
1118       <TR WIDTH="100%">
1119         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdomainname">getdomainname</A>
1120         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getdomainname() function: Return machine's NIS domain name.
1121       </TR>
1122       <TR WIDTH="100%">
1123         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetdomainname">xgetdomainname</A>
1124         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's domainname, without size limitations.
1125       </TR>
1126       <TR WIDTH="100%">
1127         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getloadavg">getloadavg</A>
1128         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current system load averages.
1129       </TR>
1130       <TR WIDTH="100%">
1131         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpagesize">getpagesize</A>
1132         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpagesize() function: Return memory page size.
1133       </TR>
1134       <TR WIDTH="100%">
1135         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getusershell">getusershell</A>
1136         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return names of valid user shells.
1137       </TR>
1138       <TR WIDTH="100%">
1139         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=physmem">physmem</A>
1140         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return amount of total/available physical memory.
1141       </TR>
1142       <TR WIDTH="100%">
1143         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixver">posixver</A>
1144         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine desired POSIX specification version, according to user's environment
1145 variables.
1146       </TR>
1147       <TR WIDTH="100%">
1148         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=progname">progname</A>
1149         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Program name management.
1150       </TR>
1151       <TR WIDTH="100%">
1152         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quotearg">quotearg</A>
1153         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1154       </TR>
1155       <TR WIDTH="100%">
1156         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quote">quote</A>
1157         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1158       </TR>
1159       <TR WIDTH="100%">
1160         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readutmp">readutmp</A>
1161         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read entire utmp file into memory.
1162       </TR>
1163       <TR WIDTH="100%">
1164         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sig2str">sig2str</A>
1165         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert between signal names and signal numbers.
1166       </TR>
1167       <TR WIDTH="100%">
1168         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sysexits">sysexits</A>
1169         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Exit status codes for some BSD system programs.
1170       </TR>
1171       <TR WIDTH="100%">
1172         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=visibility">visibility</A>
1173         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Control of symbols exported by shared libraries.
1174       </TR>
1175     </TABLE>
1176     <H2><A HREF="#build_lib">Support for building libraries and executables</A></H2>
1177     <TABLE>
1178       <TR WIDTH="100%">
1179         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ldd">ldd</A>
1180         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Command to determine the dynamically linked dependencies of a program.
1181       </TR>
1182       <TR WIDTH="100%">
1183         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lib-ignore">lib-ignore</A>
1184         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">If possible, ignore libraries that are not depended on.
1185       </TR>
1186     </TABLE>
1187     <H2><A NAME="ansic_sup">Support for systems lacking ANSI C 89</A></H2>
1188     <TABLE>
1189       <TR>
1190         <TH ALIGN=LEFT>modules/
1191         <TH ALIGN=LEFT>lib/
1192         <TH ALIGN=LEFT>lib/
1193         <TH ALIGN=LEFT>m4/
1194         <TH ALIGN=LEFT>&nbsp;
1195       </TR>
1196       <TR>
1197         <TH ALIGN=LEFT>Module
1198         <TH ALIGN=LEFT>Header
1199         <TH ALIGN=LEFT>Implementation
1200         <TH ALIGN=LEFT>Autoconf macro
1201         <TH ALIGN=LEFT>Depends on
1202       </TR>
1203       <TR>
1204         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-bs-a"></A><A HREF="modules/c-bs-a">c-bs-a</A>
1205         <TD ALIGN=LEFT VALIGN=TOP>---
1206         <TD ALIGN=LEFT VALIGN=TOP>---
1207         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-bs-a.m4">c-bs-a.m4</A><BR>AC_C_BACKSLASH_A
1208         <TD ALIGN=LEFT VALIGN=TOP>---
1209       </TR>
1210       <TR>
1211         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=assert"></A><A HREF="modules/assert">assert</A>
1212         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/assert.h.html">assert.h</A>&gt;
1213         <TD ALIGN=LEFT VALIGN=TOP>---
1214         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/assert.m4">assert.m4</A><BR>gl_ASSERT
1215         <TD ALIGN=LEFT VALIGN=TOP>---
1216       </TR>
1217       <TR>
1218         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dummy"></A><A HREF="modules/dummy">dummy</A>
1219         <TD ALIGN=LEFT VALIGN=TOP>---
1220         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dummy.c">dummy.c</A>
1221         <TD ALIGN=LEFT VALIGN=TOP>---
1222         <TD ALIGN=LEFT VALIGN=TOP>---
1223       </TR>
1224       <TR>
1225         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exit"></A><A HREF="modules/exit">exit</A>
1226         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exit.h">exit.h</A>"
1227         <TD ALIGN=LEFT VALIGN=TOP>---
1228         <TD ALIGN=LEFT VALIGN=TOP>---
1229         <TD ALIGN=LEFT VALIGN=TOP>---
1230       </TR>
1231       <TR>
1232         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=atexit"></A><A HREF="modules/atexit">atexit</A>
1233         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1234         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/atexit.c">atexit.c</A>
1235         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/atexit.m4">atexit.m4</A><BR>gl_FUNC_ATEXIT
1236         <TD ALIGN=LEFT VALIGN=TOP>---
1237       </TR>
1238       <TR>
1239         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtod"></A><A HREF="modules/strtod">strtod</A>
1240         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1241         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtod.c">strtod.c</A>
1242         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtod.m4">strtod.m4</A><BR>gl_FUNC_STRTOD
1243         <TD ALIGN=LEFT VALIGN=TOP>---
1244       </TR>
1245       <TR>
1246         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtol"></A><A HREF="modules/strtol">strtol</A>
1247         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1248         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtol.c">strtol.c</A>
1249         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtol.m4">strtol.m4</A><BR>gl_FUNC_STRTOL
1250         <TD ALIGN=LEFT VALIGN=TOP>---
1251       </TR>
1252       <TR>
1253         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoul"></A><A HREF="modules/strtoul">strtoul</A>
1254         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1255         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoul.c">strtoul.c</A>
1256         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtoul.m4">strtoul.m4</A><BR>gl_FUNC_STRTOUL
1257         <TD ALIGN=LEFT VALIGN=TOP>strtol
1258       </TR>
1259       <TR>
1260         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memchr"></A><A HREF="modules/memchr">memchr</A>
1261         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1262         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memchr.c">memchr.c</A>
1263         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memchr.m4">memchr.m4</A><BR>gl_FUNC_MEMCHR
1264         <TD ALIGN=LEFT VALIGN=TOP>---
1265       </TR>
1266       <TR>
1267         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcmp"></A><A HREF="modules/memcmp">memcmp</A>
1268         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1269         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcmp.c">memcmp.c</A>
1270         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcmp.m4">memcmp.m4</A><BR>gl_FUNC_MEMCMP
1271         <TD ALIGN=LEFT VALIGN=TOP>---
1272       </TR>
1273       <TR>
1274         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcpy"></A><A HREF="modules/memcpy">memcpy</A>
1275         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1276         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcpy.c">memcpy.c</A>
1277         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcpy.m4">memcpy.m4</A><BR>gl_FUNC_MEMCPY
1278         <TD ALIGN=LEFT VALIGN=TOP>---
1279       </TR>
1280       <TR>
1281         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmove"></A><A HREF="modules/memmove">memmove</A>
1282         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1283         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmove.c">memmove.c</A>
1284         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmove.m4">memmove.m4</A><BR>gl_FUNC_MEMMOVE
1285         <TD ALIGN=LEFT VALIGN=TOP>---
1286       </TR>
1287       <TR>
1288         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memset"></A><A HREF="modules/memset">memset</A>
1289         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1290         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memset.c">memset.c</A>
1291         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memset.m4">memset.m4</A><BR>gl_FUNC_MEMSET
1292         <TD ALIGN=LEFT VALIGN=TOP>---
1293       </TR>
1294       <TR>
1295         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcspn"></A><A HREF="modules/strcspn">strcspn</A>
1296         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1297         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcspn.c">strcspn.c</A>
1298         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcspn.m4">strcspn.m4</A><BR>gl_FUNC_STRCSPN
1299         <TD ALIGN=LEFT VALIGN=TOP>---
1300       </TR>
1301       <TR>
1302         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strpbrk"></A><A HREF="modules/strpbrk">strpbrk</A>
1303         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strpbrk.h">strpbrk.h</A>"
1304         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strpbrk.c">strpbrk.c</A>
1305         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strpbrk.m4">strpbrk.m4</A><BR>gl_FUNC_STRPBRK
1306         <TD ALIGN=LEFT VALIGN=TOP>---
1307       </TR>
1308       <TR>
1309         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strstr"></A><A HREF="modules/strstr">strstr</A>
1310         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strstr.h">strstr.h</A>"
1311         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strstr.c">strstr.c</A>
1312         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strstr.m4">strstr.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_FUNC_STRSTR
1313         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1314       </TR>
1315       <TR>
1316         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strerror"></A><A HREF="modules/strerror">strerror</A>
1317         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1318         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strerror.c">strerror.c</A>
1319         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strerror.m4">strerror.m4</A><BR>gl_FUNC_STRERROR
1320         <TD ALIGN=LEFT VALIGN=TOP>---
1321       </TR>
1322       <TR>
1323         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mktime"></A><A HREF="modules/mktime">mktime</A>
1324         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1325         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mktime.c">mktime.c</A>
1326         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mktime.m4">mktime.m4</A><BR>gl_FUNC_MKTIME
1327         <TD ALIGN=LEFT VALIGN=TOP>time_r
1328       </TR>
1329     </TABLE>
1330     Most of these modules are not listed among dependencies below, for simplicity.
1331     <H2><A NAME="ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
1332     <H3><A NAME="ansic_enh_assert_diagnostics">Diagnostics &lt;assert.h&gt;</A></H3>
1333     <TABLE>
1334       <TR>
1335         <TH ALIGN=LEFT>modules/
1336         <TH ALIGN=LEFT>lib/
1337         <TH ALIGN=LEFT>lib/
1338         <TH ALIGN=LEFT>m4/
1339         <TH ALIGN=LEFT>&nbsp;
1340       </TR>
1341       <TR>
1342         <TH ALIGN=LEFT>Module
1343         <TH ALIGN=LEFT>Header
1344         <TH ALIGN=LEFT>Implementation
1345         <TH ALIGN=LEFT>Autoconf macro
1346         <TH ALIGN=LEFT>Depends on
1347       </TR>
1348       <TR>
1349         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=verify"></A><A HREF="modules/verify">verify</A>
1350         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/verify.h">verify.h</A>"
1351         <TD ALIGN=LEFT VALIGN=TOP>---
1352         <TD ALIGN=LEFT VALIGN=TOP>---
1353         <TD ALIGN=LEFT VALIGN=TOP>---
1354       </TR>
1355     </TABLE>
1356     <H3><A NAME="ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1357     <TABLE>
1358       <TR>
1359         <TH ALIGN=LEFT>modules/
1360         <TH ALIGN=LEFT>lib/
1361         <TH ALIGN=LEFT>lib/
1362         <TH ALIGN=LEFT>m4/
1363         <TH ALIGN=LEFT>&nbsp;
1364       </TR>
1365       <TR>
1366         <TH ALIGN=LEFT>Module
1367         <TH ALIGN=LEFT>Header
1368         <TH ALIGN=LEFT>Implementation
1369         <TH ALIGN=LEFT>Autoconf macro
1370         <TH ALIGN=LEFT>Depends on
1371       </TR>
1372       <TR>
1373         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=calloc"></A><A HREF="modules/calloc">calloc</A>
1374         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1375         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/calloc.c">calloc.c</A>
1376         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/calloc.m4">calloc.m4</A><BR>AC_FUNC_CALLOC
1377         <TD ALIGN=LEFT VALIGN=TOP>---
1378       </TR>
1379       <TR>
1380         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=eealloc"></A><A HREF="modules/eealloc">eealloc</A>
1381         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;eealloc.h&gt;
1382         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/eealloc.h">eealloc.h</A>
1383         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/eealloc.m4">eealloc.m4</A><BR>gl_EEALLOC
1384         <TD ALIGN=LEFT VALIGN=TOP>---
1385       </TR>
1386       <TR>
1387         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=free"></A><A HREF="modules/free">free</A>
1388         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1389         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/free.c">free.c</A>
1390         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/free.m4">free.m4</A><BR>gl_FUNC_FREE
1391         <TD ALIGN=LEFT VALIGN=TOP>---
1392       </TR>
1393       <TR>
1394         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=malloc"></A><A HREF="modules/malloc">malloc</A>
1395         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1396         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/malloc.c">malloc.c</A>
1397         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_MALLOC
1398         <TD ALIGN=LEFT VALIGN=TOP>---
1399       </TR>
1400       <TR>
1401         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=realloc"></A><A HREF="modules/realloc">realloc</A>
1402         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1403         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/realloc.c">realloc.c</A>
1404         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_REALLOC
1405         <TD ALIGN=LEFT VALIGN=TOP>---
1406       </TR>
1407       <TR>
1408         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pagealign_alloc"></A><A HREF="modules/pagealign_alloc">pagealign_alloc</A>
1409         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pagealign_alloc.h">pagealign_alloc.h</A>"
1410         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pagealign_alloc.c">pagealign_alloc.c</A>
1411         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mmap-anon.m4">mmap-anon.m4</A><BR><A HREF="m4/pagealign_alloc.m4">pagealign_alloc.m4</A><BR>gl_PAGEALIGN_ALLOC
1412         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>getpagesize<BR>gettext-h<BR>xalloc<BR>unistd
1413       </TR>
1414     </TABLE>
1415     <H3><A NAME="ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1416     <TABLE>
1417       <TR>
1418         <TH ALIGN=LEFT>modules/
1419         <TH ALIGN=LEFT>lib/
1420         <TH ALIGN=LEFT>lib/
1421         <TH ALIGN=LEFT>m4/
1422         <TH ALIGN=LEFT>&nbsp;
1423       </TR>
1424       <TR>
1425         <TH ALIGN=LEFT>Module
1426         <TH ALIGN=LEFT>Header
1427         <TH ALIGN=LEFT>Implementation
1428         <TH ALIGN=LEFT>Autoconf macro
1429         <TH ALIGN=LEFT>Depends on
1430       </TR>
1431       <TR>
1432         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fprintftime"></A><A HREF="modules/fprintftime">fprintftime</A>
1433         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fprintftime.h">fprintftime.h</A>"
1434         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fprintftime.c">fprintftime.c</A>
1435         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fprintftime.m4">fprintftime.m4</A><BR>gl_FPRINTFTIME
1436         <TD ALIGN=LEFT VALIGN=TOP>strftime
1437       </TR>
1438       <TR>
1439         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strftime"></A><A HREF="modules/strftime">strftime</A>
1440         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strftime.h">strftime.h</A>"
1441         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strftime.c">strftime.c</A>
1442         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/strftime.m4">strftime.m4</A><BR>gl_FUNC_GNU_STRFTIME
1443         <TD ALIGN=LEFT VALIGN=TOP>time_r<BR>stdbool
1444       </TR>
1445     </TABLE>
1446     <H2><A NAME="ansic_ext">Extra functions based on ANSI C 89</A></H2>
1447     <H3><A NAME="ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1448     <TABLE>
1449       <TR>
1450         <TH ALIGN=LEFT>modules/
1451         <TH ALIGN=LEFT>lib/
1452         <TH ALIGN=LEFT>lib/
1453         <TH ALIGN=LEFT>m4/
1454         <TH ALIGN=LEFT>&nbsp;
1455       </TR>
1456       <TR>
1457         <TH ALIGN=LEFT>Module
1458         <TH ALIGN=LEFT>Header
1459         <TH ALIGN=LEFT>Implementation
1460         <TH ALIGN=LEFT>Autoconf macro
1461         <TH ALIGN=LEFT>Depends on
1462       </TR>
1463       <TR>
1464         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsize"></A><A HREF="modules/xsize">xsize</A>
1465         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsize.h">xsize.h</A>"
1466         <TD ALIGN=LEFT VALIGN=TOP>---
1467         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xsize.m4">xsize.m4</A><BR>gl_XSIZE
1468         <TD ALIGN=LEFT VALIGN=TOP>size_max
1469       </TR>
1470       <TR>
1471         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc"></A><A HREF="modules/xalloc">xalloc</A>
1472         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1473         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmalloc.c">xmalloc.c</A>
1474         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xalloc.m4">xalloc.m4</A><BR>gl_XALLOC
1475         <TD ALIGN=LEFT VALIGN=TOP>xalloc-die
1476       </TR>
1477       <TR>
1478         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc-die"></A><A HREF="modules/xalloc-die">xalloc-die</A>
1479         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1480         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xalloc-die.c">xalloc-die.c</A>
1481         <TD ALIGN=LEFT VALIGN=TOP>---
1482         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>gettext-h<BR>exitfail
1483       </TR>
1484       <TR>
1485         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca"></A><A HREF="modules/alloca">alloca</A>
1486         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1487         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca.c">alloca.c</A>
1488         <TD ALIGN=LEFT VALIGN=TOP>---
1489         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1490       </TR>
1491       <TR>
1492         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca-opt"></A><A HREF="modules/alloca-opt">alloca-opt</A>
1493         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1494         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca_.h">alloca_.h</A>
1495         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/alloca.m4">alloca.m4</A><BR>gl_FUNC_ALLOCA
1496         <TD ALIGN=LEFT VALIGN=TOP>---
1497       </TR>
1498       <TR>
1499         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=allocsa"></A><A HREF="modules/allocsa">allocsa</A>
1500         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/allocsa.h">allocsa.h</A>"
1501         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/allocsa.c">allocsa.c</A><BR><A HREF="lib/allocsa.valgrind">allocsa.valgrind</A>
1502         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/allocsa.m4">allocsa.m4</A><BR><A HREF="m4/eealloc.m4">eealloc.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR>gl_ALLOCSA
1503         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1504       </TR>
1505       <TR>
1506         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xallocsa"></A><A HREF="modules/xallocsa">xallocsa</A>
1507         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xallocsa.h">xallocsa.h</A>"
1508         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xallocsa.c">xallocsa.c</A>
1509         <TD ALIGN=LEFT VALIGN=TOP>---
1510         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>xalloc
1511       </TR>
1512     </TABLE>
1513     <H3><A NAME="ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
1514     <TABLE>
1515       <TR>
1516         <TH ALIGN=LEFT>modules/
1517         <TH ALIGN=LEFT>lib/
1518         <TH ALIGN=LEFT>lib/
1519         <TH ALIGN=LEFT>m4/
1520         <TH ALIGN=LEFT>&nbsp;
1521       </TR>
1522       <TR>
1523         <TH ALIGN=LEFT>Module
1524         <TH ALIGN=LEFT>Header
1525         <TH ALIGN=LEFT>Implementation
1526         <TH ALIGN=LEFT>Autoconf macro
1527         <TH ALIGN=LEFT>Depends on
1528       </TR>
1529       <TR>
1530         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gcd"></A><A HREF="modules/gcd">gcd</A>
1531         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gcd.h">gcd.h</A>"
1532         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gcd.c">gcd.c</A>
1533         <TD ALIGN=LEFT VALIGN=TOP>---
1534         <TD ALIGN=LEFT VALIGN=TOP>---
1535       </TR>
1536       <TR>
1537         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=minmax"></A><A HREF="modules/minmax">minmax</A>
1538         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/minmax.h">minmax.h</A>"
1539         <TD ALIGN=LEFT VALIGN=TOP>---
1540         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/minmax.m4">minmax.m4</A><BR>gl_MINMAX
1541         <TD ALIGN=LEFT VALIGN=TOP>---
1542       </TR>
1543     </TABLE>
1544     <H3><A NAME="ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
1545     <TABLE>
1546       <TR>
1547         <TH ALIGN=LEFT>modules/
1548         <TH ALIGN=LEFT>lib/
1549         <TH ALIGN=LEFT>lib/
1550         <TH ALIGN=LEFT>m4/
1551         <TH ALIGN=LEFT>&nbsp;
1552       </TR>
1553       <TR>
1554         <TH ALIGN=LEFT>Module
1555         <TH ALIGN=LEFT>Header
1556         <TH ALIGN=LEFT>Implementation
1557         <TH ALIGN=LEFT>Autoconf macro
1558         <TH ALIGN=LEFT>Depends on
1559       </TR>
1560       <TR>
1561         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=putenv"></A><A HREF="modules/putenv">putenv</A>
1562         <TD ALIGN=LEFT VALIGN=TOP>---
1563         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/putenv.c">putenv.c</A>
1564         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/putenv.m4">putenv.m4</A><BR>gl_FUNC_PUTENV
1565         <TD ALIGN=LEFT VALIGN=TOP>---
1566       </TR>
1567       <TR>
1568         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=setenv"></A><A HREF="modules/setenv">setenv</A>
1569         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/setenv.h">setenv.h</A>"
1570         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/setenv.c">setenv.c</A><BR><A HREF="lib/unsetenv.c">unsetenv.c</A>
1571         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/setenv.m4">setenv.m4</A><BR>gt_FUNC_SETENV
1572         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>alloca-opt
1573       </TR>
1574       <TR>
1575         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsetenv"></A><A HREF="modules/xsetenv">xsetenv</A>
1576         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsetenv.h">xsetenv.h</A>"
1577         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xsetenv.c">xsetenv.c</A>
1578         <TD ALIGN=LEFT VALIGN=TOP>---
1579         <TD ALIGN=LEFT VALIGN=TOP>setenv<BR>error<BR>exit<BR>gettext-h
1580       </TR>
1581     </TABLE>
1582     <H3><A NAME="ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
1583     <TABLE>
1584       <TR>
1585         <TH ALIGN=LEFT>modules/
1586         <TH ALIGN=LEFT>lib/
1587         <TH ALIGN=LEFT>lib/
1588         <TH ALIGN=LEFT>m4/
1589         <TH ALIGN=LEFT>&nbsp;
1590       </TR>
1591       <TR>
1592         <TH ALIGN=LEFT>Module
1593         <TH ALIGN=LEFT>Header
1594         <TH ALIGN=LEFT>Implementation
1595         <TH ALIGN=LEFT>Autoconf macro
1596         <TH ALIGN=LEFT>Depends on
1597       </TR>
1598       <TR>
1599         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-ctype"></A><A HREF="modules/c-ctype">c-ctype</A>
1600         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-ctype.h">c-ctype.h</A>"
1601         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-ctype.c">c-ctype.c</A>
1602         <TD ALIGN=LEFT VALIGN=TOP>---
1603         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1604       </TR>
1605     </TABLE>
1606     <H3><A NAME="ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
1607     <TABLE>
1608       <TR>
1609         <TH ALIGN=LEFT>modules/
1610         <TH ALIGN=LEFT>lib/
1611         <TH ALIGN=LEFT>lib/
1612         <TH ALIGN=LEFT>m4/
1613         <TH ALIGN=LEFT>&nbsp;
1614       </TR>
1615       <TR>
1616         <TH ALIGN=LEFT>Module
1617         <TH ALIGN=LEFT>Header
1618         <TH ALIGN=LEFT>Implementation
1619         <TH ALIGN=LEFT>Autoconf macro
1620         <TH ALIGN=LEFT>Depends on
1621       </TR>
1622       <TR>
1623         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=bcopy"></A><A HREF="modules/bcopy">bcopy</A>
1624         <TD ALIGN=LEFT VALIGN=TOP>---
1625         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/bcopy.c">bcopy.c</A>
1626         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(bcopy)
1627         <TD ALIGN=LEFT VALIGN=TOP>---
1628       </TR>
1629       <TR>
1630         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmem"></A><A HREF="modules/memmem">memmem</A>
1631         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memmem.h">memmem.h</A>"
1632         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmem.c">memmem.c</A>
1633         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmem.m4">memmem.m4</A><BR>gl_FUNC_MEMMEM
1634         <TD ALIGN=LEFT VALIGN=TOP>---
1635       </TR>
1636       <TR>
1637         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mempcpy"></A><A HREF="modules/mempcpy">mempcpy</A>
1638         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mempcpy.h">mempcpy.h</A>"
1639         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mempcpy.c">mempcpy.c</A>
1640         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mempcpy.m4">mempcpy.m4</A><BR>gl_FUNC_MEMPCPY
1641         <TD ALIGN=LEFT VALIGN=TOP>---
1642       </TR>
1643       <TR>
1644         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memrchr"></A><A HREF="modules/memrchr">memrchr</A>
1645         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memrchr.h">memrchr.h</A>"
1646         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memrchr.c">memrchr.c</A>
1647         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memrchr.m4">memrchr.m4</A><BR>gl_FUNC_MEMRCHR
1648         <TD ALIGN=LEFT VALIGN=TOP>---
1649       </TR>
1650       <TR>
1651         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpcpy"></A><A HREF="modules/stpcpy">stpcpy</A>
1652         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpcpy.h">stpcpy.h</A>"
1653         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpcpy.c">stpcpy.c</A>
1654         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpcpy.m4">stpcpy.m4</A><BR>gl_FUNC_STPCPY
1655         <TD ALIGN=LEFT VALIGN=TOP>---
1656       </TR>
1657       <TR>
1658         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpncpy"></A><A HREF="modules/stpncpy">stpncpy</A>
1659         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpncpy.h">stpncpy.h</A>"
1660         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpncpy.c">stpncpy.c</A>
1661         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpncpy.m4">stpncpy.m4</A><BR>gl_FUNC_STPNCPY
1662         <TD ALIGN=LEFT VALIGN=TOP>---
1663       </TR>
1664       <TR>
1665         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strcase"></A><A HREF="modules/c-strcase">c-strcase</A>
1666         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strcase.h">c-strcase.h</A>"
1667         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strcasecmp.c">c-strcasecmp.c</A><BR><A HREF="lib/c-strncasecmp.c">c-strncasecmp.c</A>
1668         <TD ALIGN=LEFT VALIGN=TOP>---
1669         <TD ALIGN=LEFT VALIGN=TOP>c-ctype
1670       </TR>
1671       <TR>
1672         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcase"></A><A HREF="modules/strcase">strcase</A>
1673         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strcase.h">strcase.h</A>"
1674         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcasecmp.c">strcasecmp.c</A><BR><A HREF="lib/strncasecmp.c">strncasecmp.c</A>
1675         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcase.m4">strcase.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_STRCASE
1676         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1677       </TR>
1678       <TR>
1679         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strcasestr"></A><A HREF="modules/c-strcasestr">c-strcasestr</A>
1680         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strcasestr.h">c-strcasestr.h</A>"
1681         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strcasestr.c">c-strcasestr.c</A>
1682         <TD ALIGN=LEFT VALIGN=TOP>---
1683         <TD ALIGN=LEFT VALIGN=TOP>c-ctype
1684       </TR>
1685       <TR>
1686         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcasestr"></A><A HREF="modules/strcasestr">strcasestr</A>
1687         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strcasestr.h">strcasestr.h</A>"
1688         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcasestr.c">strcasestr.c</A>
1689         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcasestr.m4">strcasestr.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_FUNC_STRCASESTR
1690         <TD ALIGN=LEFT VALIGN=TOP>mbuiter
1691       </TR>
1692       <TR>
1693         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strchrnul"></A><A HREF="modules/strchrnul">strchrnul</A>
1694         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strchrnul.h">strchrnul.h</A>"
1695         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strchrnul.c">strchrnul.c</A>
1696         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strchrnul.m4">strchrnul.m4</A><BR>gl_FUNC_STRCHRNUL
1697         <TD ALIGN=LEFT VALIGN=TOP>---
1698       </TR>
1699       <TR>
1700         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strdup"></A><A HREF="modules/strdup">strdup</A>
1701         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strdup.h">strdup.h</A>"
1702         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strdup.c">strdup.c</A>
1703         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strdup.m4">strdup.m4</A><BR>gl_FUNC_STRDUP
1704         <TD ALIGN=LEFT VALIGN=TOP>---
1705       </TR>
1706       <TR>
1707         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen"></A><A HREF="modules/strnlen">strnlen</A>
1708         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strnlen.h">strnlen.h</A>"
1709         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen.c">strnlen.c</A>
1710         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strnlen.m4">strnlen.m4</A><BR>gl_FUNC_STRNLEN
1711         <TD ALIGN=LEFT VALIGN=TOP>---
1712       </TR>
1713       <TR>
1714         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen1"></A><A HREF="modules/strnlen1">strnlen1</A>
1715         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strnlen1.h">strnlen1.h</A>"
1716         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen1.c">strnlen1.c</A>
1717         <TD ALIGN=LEFT VALIGN=TOP>---
1718         <TD ALIGN=LEFT VALIGN=TOP>memchr
1719       </TR>
1720       <TR>
1721         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strndup"></A><A HREF="modules/strndup">strndup</A>
1722         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strndup.h">strndup.h</A>"
1723         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strndup.c">strndup.c</A>
1724         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strndup.m4">strndup.m4</A><BR>gl_FUNC_STRNDUP
1725         <TD ALIGN=LEFT VALIGN=TOP>strnlen
1726       </TR>
1727       <TR>
1728         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strsep"></A><A HREF="modules/strsep">strsep</A>
1729         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strsep.h">strsep.h</A>"
1730         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strsep.c">strsep.c</A>
1731         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strsep.m4">strsep.m4</A><BR>gl_FUNC_STRSEP
1732         <TD ALIGN=LEFT VALIGN=TOP>strpbrk
1733       </TR>
1734       <TR>
1735         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrndup"></A><A HREF="modules/xstrndup">xstrndup</A>
1736         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrndup.h">xstrndup.h</A>"
1737         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrndup.c">xstrndup.c</A>
1738         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrndup.m4">xstrndup.m4</A><BR>gl_XSTRNDUP
1739         <TD ALIGN=LEFT VALIGN=TOP>strndup<BR>xalloc
1740       </TR>
1741     </TABLE>
1742     <H3><A NAME="ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
1743     <TABLE>
1744       <TR>
1745         <TH ALIGN=LEFT>modules/
1746         <TH ALIGN=LEFT>lib/
1747         <TH ALIGN=LEFT>lib/
1748         <TH ALIGN=LEFT>m4/
1749         <TH ALIGN=LEFT>&nbsp;
1750       </TR>
1751       <TR>
1752         <TH ALIGN=LEFT>Module
1753         <TH ALIGN=LEFT>Header
1754         <TH ALIGN=LEFT>Implementation
1755         <TH ALIGN=LEFT>Autoconf macro
1756         <TH ALIGN=LEFT>Depends on
1757       </TR>
1758       <TR>
1759         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtod"></A><A HREF="modules/c-strtod">c-strtod</A>
1760         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1761         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A>
1762         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOD
1763         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1764       </TR>
1765       <TR>
1766         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtold"></A><A HREF="modules/c-strtold">c-strtold</A>
1767         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1768         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A><BR><A HREF="lib/c-strtold.c">c-strtold.c</A>
1769         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOLD
1770         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1771       </TR>
1772       <TR>
1773         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtod"></A><A HREF="modules/xstrtod">xstrtod</A>
1774         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtod.h">xstrtod.h</A>"
1775         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtod.c">xstrtod.c</A>
1776         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtod.m4">xstrtod.m4</A><BR>gl_XSTRTOD
1777         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1778       </TR>
1779       <TR>
1780         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtol"></A><A HREF="modules/xstrtol">xstrtol</A>
1781         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
1782         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtol.c">xstrtol.c</A><BR><A HREF="lib/xstrtoul.c">xstrtoul.c</A>
1783         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/xstrtol.m4">xstrtol.m4</A><BR>gl_XSTRTOL
1784         <TD ALIGN=LEFT VALIGN=TOP>exitfail<BR>error<BR>intprops
1785       </TR>
1786     </TABLE>
1787     <H3><A NAME="ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1788     <TABLE>
1789       <TR>
1790         <TH ALIGN=LEFT>modules/
1791         <TH ALIGN=LEFT>lib/
1792         <TH ALIGN=LEFT>lib/
1793         <TH ALIGN=LEFT>m4/
1794         <TH ALIGN=LEFT>&nbsp;
1795       </TR>
1796       <TR>
1797         <TH ALIGN=LEFT>Module
1798         <TH ALIGN=LEFT>Header
1799         <TH ALIGN=LEFT>Implementation
1800         <TH ALIGN=LEFT>Autoconf macro
1801         <TH ALIGN=LEFT>Depends on
1802       </TR>
1803       <TR>
1804         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdate"></A><A HREF="modules/getdate">getdate</A>
1805         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdate.h">getdate.h</A>"
1806         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdate.y">getdate.y</A>
1807         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/bison.m4">bison.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/getdate.m4">getdate.m4</A><BR>gl_GETDATE
1808         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool<BR>gettime<BR>mktime<BR>setenv<BR>xalloc
1809       </TR>
1810       <TR>
1811         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timegm"></A><A HREF="modules/timegm">timegm</A>
1812         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timegm.h">timegm.h</A>"
1813         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/timegm.c">timegm.c</A>
1814         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/timegm.m4">timegm.m4</A><BR>gl_FUNC_TIMEGM
1815         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>time_r
1816       </TR>
1817       <TR>
1818         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tzset"></A><A HREF="modules/tzset">tzset</A>
1819         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1820         <TD ALIGN=LEFT VALIGN=TOP>---
1821         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tzset.m4">tzset.m4</A><BR>gl_FUNC_TZSET_CLOBBER
1822         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday
1823       </TR>
1824     </TABLE>
1825     <H3><A NAME="ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
1826     <TABLE>
1827       <TR>
1828         <TH ALIGN=LEFT>modules/
1829         <TH ALIGN=LEFT>lib/
1830         <TH ALIGN=LEFT>lib/
1831         <TH ALIGN=LEFT>m4/
1832         <TH ALIGN=LEFT>&nbsp;
1833       </TR>
1834       <TR>
1835         <TH ALIGN=LEFT>Module
1836         <TH ALIGN=LEFT>Header
1837         <TH ALIGN=LEFT>Implementation
1838         <TH ALIGN=LEFT>Autoconf macro
1839         <TH ALIGN=LEFT>Depends on
1840       </TR>
1841       <TR>
1842         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlocked-io"></A><A HREF="modules/unlocked-io">unlocked-io</A>
1843         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlocked-io.h">unlocked-io.h</A>"
1844         <TD ALIGN=LEFT VALIGN=TOP>---
1845         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlocked-io.m4">unlocked-io.m4</A><BR>gl_FUNC_GLIBC_UNLOCKED_IO
1846         <TD ALIGN=LEFT VALIGN=TOP>extensions
1847       </TR>
1848       <TR>
1849         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fwriteerror"></A><A HREF="modules/fwriteerror">fwriteerror</A>
1850         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fwriteerror.h">fwriteerror.h</A>"
1851         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fwriteerror.c">fwriteerror.c</A>
1852         <TD ALIGN=LEFT VALIGN=TOP>---
1853         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1854       </TR>
1855       <TR>
1856         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasnprintf"></A><A HREF="modules/vasnprintf">vasnprintf</A>
1857         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasnprintf.h">vasnprintf.h</A>"
1858         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/printf-args.h">printf-args.h</A><BR><A HREF="lib/printf-args.c">printf-args.c</A><BR><A HREF="lib/printf-parse.h">printf-parse.h</A><BR><A HREF="lib/printf-parse.c">printf-parse.c</A><BR><A HREF="lib/vasnprintf.c">vasnprintf.c</A><BR><A HREF="lib/asnprintf.c">asnprintf.c</A>
1859         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/eoverflow.m4">eoverflow.m4</A><BR><A HREF="m4/vasnprintf.m4">vasnprintf.m4</A><BR>gl_FUNC_VASNPRINTF
1860         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt<BR>xsize
1861       </TR>
1862       <TR>
1863         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasprintf"></A><A HREF="modules/vasprintf">vasprintf</A>
1864         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasprintf.h">vasprintf.h</A>"
1865         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vasprintf.c">vasprintf.c</A><BR><A HREF="lib/asprintf.c">asprintf.c</A>
1866         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vasprintf.m4">vasprintf.m4</A><BR>gl_FUNC_VASPRINTF
1867         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf
1868       </TR>
1869       <TR>
1870         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xvasprintf"></A><A HREF="modules/xvasprintf">xvasprintf</A>
1871         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xvasprintf.h">xvasprintf.h</A>"
1872         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xvasprintf.c">xvasprintf.c</A><BR><A HREF="lib/xasprintf.c">xasprintf.c</A><BR><A HREF="lib/xalloc.h">xalloc.h</A>
1873         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xvasprintf.m4">xvasprintf.m4</A><BR>gl_XVASPRINTF
1874         <TD ALIGN=LEFT VALIGN=TOP>vasprintf<BR>xalloc-die<BR>xsize<BR>stdarg
1875       </TR>
1876     </TABLE>
1877     <H3><A NAME="ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
1878     <TABLE>
1879       <TR>
1880         <TH ALIGN=LEFT>modules/
1881         <TH ALIGN=LEFT>lib/
1882         <TH ALIGN=LEFT>lib/
1883         <TH ALIGN=LEFT>m4/
1884         <TH ALIGN=LEFT>&nbsp;
1885       </TR>
1886       <TR>
1887         <TH ALIGN=LEFT>Module
1888         <TH ALIGN=LEFT>Header
1889         <TH ALIGN=LEFT>Implementation
1890         <TH ALIGN=LEFT>Autoconf macro
1891         <TH ALIGN=LEFT>Depends on
1892       </TR>
1893       <TR>
1894         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fatal-signal"></A><A HREF="modules/fatal-signal">fatal-signal</A>
1895         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fatal-signal.h">fatal-signal.h</A>"
1896         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fatal-signal.c">fatal-signal.c</A>
1897         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fatal-signal.m4">fatal-signal.m4</A><BR><A HREF="m4/signalblocking.m4">signalblocking.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_FATAL_SIGNAL
1898         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool<BR>unistd
1899       </TR>
1900       <TR>
1901         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=raise"></A><A HREF="modules/raise">raise</A>
1902         <TD ALIGN=LEFT VALIGN=TOP>---
1903         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/raise.c">raise.c</A>
1904         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(raise)
1905         <TD ALIGN=LEFT VALIGN=TOP>---
1906       </TR>
1907     </TABLE>
1908     <H3><A NAME="ansic_ext_argv">Command-line arguments</A></H3>
1909     <TABLE>
1910       <TR>
1911         <TH ALIGN=LEFT>modules/
1912         <TH ALIGN=LEFT>lib/
1913         <TH ALIGN=LEFT>lib/
1914         <TH ALIGN=LEFT>m4/
1915         <TH ALIGN=LEFT>&nbsp;
1916       </TR>
1917       <TR>
1918         <TH ALIGN=LEFT>Module
1919         <TH ALIGN=LEFT>Header
1920         <TH ALIGN=LEFT>Implementation
1921         <TH ALIGN=LEFT>Autoconf macro
1922         <TH ALIGN=LEFT>Depends on
1923       </TR>
1924       <TR>
1925         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argmatch"></A><A HREF="modules/argmatch">argmatch</A>
1926         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argmatch.h">argmatch.h</A>"
1927         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argmatch.c">argmatch.c</A>
1928         <TD ALIGN=LEFT VALIGN=TOP>---
1929         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>error<BR>quotearg<BR>quote<BR>exit<BR>exitfail<BR>verify<BR>stdbool
1930       </TR>
1931       <TR>
1932         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc"></A><A HREF="modules/version-etc">version-etc</A>
1933         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/version-etc.h">version-etc.h</A>"
1934         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc.c">version-etc.c</A>
1935         <TD ALIGN=LEFT VALIGN=TOP>---
1936         <TD ALIGN=LEFT VALIGN=TOP>gettext-h
1937       </TR>
1938       <TR>
1939         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc-fsf"></A><A HREF="modules/version-etc-fsf">version-etc-fsf</A>
1940         <TD ALIGN=LEFT VALIGN=TOP>---
1941         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc-fsf.c">version-etc-fsf.c</A>
1942         <TD ALIGN=LEFT VALIGN=TOP>---
1943         <TD ALIGN=LEFT VALIGN=TOP>version-etc
1944       </TR>
1945       <TR>
1946         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=long-options"></A><A HREF="modules/long-options">long-options</A>
1947         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/long-options.h">long-options.h</A>"
1948         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/long-options.c">long-options.c</A>
1949         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/long-options.m4">long-options.m4</A><BR>gl_LONG_OPTIONS
1950         <TD ALIGN=LEFT VALIGN=TOP>version-etc
1951       </TR>
1952     </TABLE>
1953     <H3><A NAME="ansic_ext_crypto">Cryptographic computations</A></H3>
1954     <TABLE>
1955       <TR>
1956         <TH ALIGN=LEFT>modules/
1957         <TH ALIGN=LEFT>lib/
1958         <TH ALIGN=LEFT>lib/
1959         <TH ALIGN=LEFT>m4/
1960         <TH ALIGN=LEFT>&nbsp;
1961       </TR>
1962       <TR>
1963         <TH ALIGN=LEFT>Module
1964         <TH ALIGN=LEFT>Header
1965         <TH ALIGN=LEFT>Implementation
1966         <TH ALIGN=LEFT>Autoconf macro
1967         <TH ALIGN=LEFT>Depends on
1968       </TR>
1969       <TR>
1970         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md5"></A><A HREF="modules/md5">md5</A>
1971         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md5.h">md5.h</A>"
1972         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.c">md5.c</A>
1973         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md5.m4">md5.m4</A><BR>gl_MD5
1974         <TD ALIGN=LEFT VALIGN=TOP>stdint
1975       </TR>
1976       <TR>
1977         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sha1"></A><A HREF="modules/sha1">sha1</A>
1978         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sha1.h">sha1.h</A>"
1979         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.c">sha1.c</A>
1980         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sha1.m4">sha1.m4</A><BR>gl_SHA1
1981         <TD ALIGN=LEFT VALIGN=TOP>stdint
1982       </TR>
1983     </TABLE>
1984     <H3><A NAME="ansic_ext_misc">Misc</A></H3>
1985     <TABLE>
1986       <TR>
1987         <TH ALIGN=LEFT>modules/
1988         <TH ALIGN=LEFT>lib/
1989         <TH ALIGN=LEFT>lib/
1990         <TH ALIGN=LEFT>m4/
1991         <TH ALIGN=LEFT>&nbsp;
1992       </TR>
1993       <TR>
1994         <TH ALIGN=LEFT>Module
1995         <TH ALIGN=LEFT>Header
1996         <TH ALIGN=LEFT>Implementation
1997         <TH ALIGN=LEFT>Autoconf macro
1998         <TH ALIGN=LEFT>Depends on
1999       </TR>
2000       <TR>
2001         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=base64"></A><A HREF="modules/base64">base64</A>
2002         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/base64.h">base64.h</A>"
2003         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/base64.c">base64.c</A>
2004         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/base64.m4">base64.m4</A><BR>gl_FUNC_BASE64
2005         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>restrict
2006       </TR>
2007       <TR>
2008         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=check-version"></A><A HREF="modules/check-version">check-version</A>
2009         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/check-version.h">check-version.h</A>"
2010         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/check-version.c">check-version.c</A>
2011         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/check-version.m4">check-version.m4</A><BR>gl_CHECK_VERSION
2012         <TD ALIGN=LEFT VALIGN=TOP>strverscmp
2013       </TR>
2014       <TR>
2015         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=diacrit"></A><A HREF="modules/diacrit">diacrit</A>
2016         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/diacrit.h">diacrit.h</A>"
2017         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/diacrit.c">diacrit.c</A>
2018         <TD ALIGN=LEFT VALIGN=TOP>---
2019         <TD ALIGN=LEFT VALIGN=TOP>---
2020       </TR>
2021       <TR>
2022         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getline"></A><A HREF="modules/getline">getline</A>
2023         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getline.h">getline.h</A>"
2024         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getline.c">getline.c</A>
2025         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getline.m4">getline.m4</A><BR>gl_FUNC_GETLINE
2026         <TD ALIGN=LEFT VALIGN=TOP>getdelim
2027       </TR>
2028       <TR>
2029         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdelim"></A><A HREF="modules/getdelim">getdelim</A>
2030         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdelim.h">getdelim.h</A>"
2031         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdelim.c">getdelim.c</A>
2032         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdelim.m4">getdelim.m4</A><BR>gl_FUNC_GETDELIM
2033         <TD ALIGN=LEFT VALIGN=TOP>---
2034       </TR>
2035       <TR>
2036         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getnline"></A><A HREF="modules/getnline">getnline</A>
2037         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getnline.h">getnline.h</A>"
2038         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getnline.c">getnline.c</A>
2039         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getnline.m4">getnline.m4</A><BR>gl_GETNLINE
2040         <TD ALIGN=LEFT VALIGN=TOP>getndelim2<BR>ssize_t
2041       </TR>
2042       <TR>
2043         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getndelim2"></A><A HREF="modules/getndelim2">getndelim2</A>
2044         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getndelim2.h">getndelim2.h</A>"
2045         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getndelim2.c">getndelim2.c</A>
2046         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getndelim2.m4">getndelim2.m4</A><BR>gl_GETNDELIM2
2047         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
2048       </TR>
2049       <TR>
2050         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebuffer"></A><A HREF="modules/linebuffer">linebuffer</A>
2051         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebuffer.h">linebuffer.h</A>"
2052         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebuffer.c">linebuffer.c</A>
2053         <TD ALIGN=LEFT VALIGN=TOP>---
2054         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2055       </TR>
2056       <TR>
2057         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=obstack"></A><A HREF="modules/obstack">obstack</A>
2058         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/obstack.h">obstack.h</A>"
2059         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/obstack.c">obstack.c</A>
2060         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/obstack.m4">obstack.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR>gl_OBSTACK
2061         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exit<BR>exitfail
2062       </TR>
2063       <TR>
2064         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash-pjw"></A><A HREF="modules/hash-pjw">hash-pjw</A>
2065         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash-pjw.h">hash-pjw.h</A>"
2066         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash-pjw.c">hash-pjw.c</A>
2067         <TD ALIGN=LEFT VALIGN=TOP>---
2068         <TD ALIGN=LEFT VALIGN=TOP>---
2069       </TR>
2070       <TR>
2071         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash"></A><A HREF="modules/hash">hash</A>
2072         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash.h">hash.h</A>"
2073         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash.c">hash.c</A>
2074         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hash.m4">hash.m4</A><BR>gl_HASH
2075         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
2076       </TR>
2077       <TR>
2078         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readline"></A><A HREF="modules/readline">readline</A>
2079         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readline.h">readline.h</A>"
2080         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readline.c">readline.c</A>
2081         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readline.m4">readline.m4</A><BR>gl_FUNC_READLINE
2082         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>havelib
2083       </TR>
2084       <TR>
2085         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens"></A><A HREF="modules/readtokens">readtokens</A>
2086         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens.h">readtokens.h</A>"
2087         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens.c">readtokens.c</A>
2088         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readtokens.m4">readtokens.m4</A><BR>gl_READTOKENS
2089         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
2090       </TR>
2091       <TR>
2092         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens0"></A><A HREF="modules/readtokens0">readtokens0</A>
2093         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens0.h">readtokens0.h</A>"
2094         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens0.c">readtokens0.c</A>
2095         <TD ALIGN=LEFT VALIGN=TOP>---
2096         <TD ALIGN=LEFT VALIGN=TOP>obstack<BR>stdbool
2097       </TR>
2098       <TR>
2099         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strverscmp"></A><A HREF="modules/strverscmp">strverscmp</A>
2100         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strverscmp.h">strverscmp.h</A>"
2101         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strverscmp.c">strverscmp.c</A>
2102         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strverscmp.m4">strverscmp.m4</A><BR>gl_FUNC_STRVERSCMP
2103         <TD ALIGN=LEFT VALIGN=TOP>---
2104       </TR>
2105     </TABLE>
2106     <H2><A NAME="isoc_sup">Support for systems lacking ISO C 99</A></H2>
2107     <H3><A NAME="isoc_sup_keywords">Keywords</A></H3>
2108     <TABLE>
2109       <TR>
2110         <TH ALIGN=LEFT>modules/
2111         <TH ALIGN=LEFT>lib/
2112         <TH ALIGN=LEFT>lib/
2113         <TH ALIGN=LEFT>m4/
2114         <TH ALIGN=LEFT>&nbsp;
2115       </TR>
2116       <TR>
2117         <TH ALIGN=LEFT>Module
2118         <TH ALIGN=LEFT>Header
2119         <TH ALIGN=LEFT>Implementation
2120         <TH ALIGN=LEFT>Autoconf macro
2121         <TH ALIGN=LEFT>Depends on
2122       </TR>
2123       <TR>
2124         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=restrict"></A><A HREF="modules/restrict">restrict</A>
2125         <TD ALIGN=LEFT VALIGN=TOP>---
2126         <TD ALIGN=LEFT VALIGN=TOP>---
2127         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/restrict.m4">restrict.m4</A><BR>gl_C_RESTRICT
2128         <TD ALIGN=LEFT VALIGN=TOP>---
2129       </TR>
2130     </TABLE>
2131     <H3><A NAME="isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
2132     <TABLE>
2133       <TR>
2134         <TH ALIGN=LEFT>modules/
2135         <TH ALIGN=LEFT>lib/
2136         <TH ALIGN=LEFT>lib/
2137         <TH ALIGN=LEFT>m4/
2138         <TH ALIGN=LEFT>&nbsp;
2139       </TR>
2140       <TR>
2141         <TH ALIGN=LEFT>Module
2142         <TH ALIGN=LEFT>Header
2143         <TH ALIGN=LEFT>Implementation
2144         <TH ALIGN=LEFT>Autoconf macro
2145         <TH ALIGN=LEFT>Depends on
2146       </TR>
2147       <TR>
2148         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ullong_max"></A><A HREF="modules/ullong_max">ullong_max</A>
2149         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/limits.h.html">limits.h</A>&gt;
2150         <TD ALIGN=LEFT VALIGN=TOP>---
2151         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ullong_max.m4">ullong_max.m4</A><BR>gl_ULLONG_MAX
2152         <TD ALIGN=LEFT VALIGN=TOP>---
2153       </TR>
2154       <TR>
2155         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=size_max"></A><A HREF="modules/size_max">size_max</A>
2156         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/size_max.h">size_max.h</A>"
2157         <TD ALIGN=LEFT VALIGN=TOP>---
2158         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/size_max.m4">size_max.m4</A><BR>gl_SIZE_MAX
2159         <TD ALIGN=LEFT VALIGN=TOP>---
2160       </TR>
2161     </TABLE>
2162     <H3><A NAME="isoc_sup_stdarg">Variable arguments &lt;stdarg.h&gt;</A></H3>
2163     <TABLE>
2164       <TR>
2165         <TH ALIGN=LEFT>modules/
2166         <TH ALIGN=LEFT>lib/
2167         <TH ALIGN=LEFT>lib/
2168         <TH ALIGN=LEFT>m4/
2169         <TH ALIGN=LEFT>&nbsp;
2170       </TR>
2171       <TR>
2172         <TH ALIGN=LEFT>Module
2173         <TH ALIGN=LEFT>Header
2174         <TH ALIGN=LEFT>Implementation
2175         <TH ALIGN=LEFT>Autoconf macro
2176         <TH ALIGN=LEFT>Depends on
2177       </TR>
2178       <TR>
2179         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdarg"></A><A HREF="modules/stdarg">stdarg</A>
2180         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdarg.h.html">stdarg.h</A>&gt;
2181         <TD ALIGN=LEFT VALIGN=TOP>---
2182         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdarg.m4">stdarg.m4</A><BR>gl_STDARG_H
2183         <TD ALIGN=LEFT VALIGN=TOP>---
2184       </TR>
2185     </TABLE>
2186     <H3><A NAME="isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
2187     <TABLE>
2188       <TR>
2189         <TH ALIGN=LEFT>modules/
2190         <TH ALIGN=LEFT>lib/
2191         <TH ALIGN=LEFT>lib/
2192         <TH ALIGN=LEFT>m4/
2193         <TH ALIGN=LEFT>&nbsp;
2194       </TR>
2195       <TR>
2196         <TH ALIGN=LEFT>Module
2197         <TH ALIGN=LEFT>Header
2198         <TH ALIGN=LEFT>Implementation
2199         <TH ALIGN=LEFT>Autoconf macro
2200         <TH ALIGN=LEFT>Depends on
2201       </TR>
2202       <TR>
2203         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdbool"></A><A HREF="modules/stdbool">stdbool</A>
2204         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdbool.h.html">stdbool.h</A>&gt;
2205         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdbool_.h">stdbool_.h</A>
2206         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdbool.m4">stdbool.m4</A><BR>AM_STDBOOL_H
2207         <TD ALIGN=LEFT VALIGN=TOP>---
2208       </TR>
2209     </TABLE>
2210     <H3><A NAME="isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
2211     <TABLE>
2212       <TR>
2213         <TH ALIGN=LEFT>modules/
2214         <TH ALIGN=LEFT>lib/
2215         <TH ALIGN=LEFT>lib/
2216         <TH ALIGN=LEFT>m4/
2217         <TH ALIGN=LEFT>&nbsp;
2218       </TR>
2219       <TR>
2220         <TH ALIGN=LEFT>Module
2221         <TH ALIGN=LEFT>Header
2222         <TH ALIGN=LEFT>Implementation
2223         <TH ALIGN=LEFT>Autoconf macro
2224         <TH ALIGN=LEFT>Depends on
2225       </TR>
2226       <TR>
2227         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdint"></A><A HREF="modules/stdint">stdint</A>
2228         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdint.h.html">stdint.h</A>&gt;
2229         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdint_.h">stdint_.h</A>
2230         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdint.m4">stdint.m4</A><BR><A HREF="m4/full-header-path.m4">full-header-path.m4</A><BR><A HREF="m4/size_max.m4">size_max.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR>gl_STDINT_H
2231         <TD ALIGN=LEFT VALIGN=TOP>---
2232       </TR>
2233     </TABLE>
2234     <H3><A NAME="isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
2235     <TABLE>
2236       <TR>
2237         <TH ALIGN=LEFT>modules/
2238         <TH ALIGN=LEFT>lib/
2239         <TH ALIGN=LEFT>lib/
2240         <TH ALIGN=LEFT>m4/
2241         <TH ALIGN=LEFT>&nbsp;
2242       </TR>
2243       <TR>
2244         <TH ALIGN=LEFT>Module
2245         <TH ALIGN=LEFT>Header
2246         <TH ALIGN=LEFT>Implementation
2247         <TH ALIGN=LEFT>Autoconf macro
2248         <TH ALIGN=LEFT>Depends on
2249       </TR>
2250       <TR>
2251         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=snprintf"></A><A HREF="modules/snprintf">snprintf</A>
2252         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/snprintf.h">snprintf.h</A>"
2253         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/snprintf.c">snprintf.c</A>
2254         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/snprintf.m4">snprintf.m4</A><BR>gl_FUNC_SNPRINTF
2255         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf<BR>minmax
2256       </TR>
2257       <TR>
2258         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vsnprintf"></A><A HREF="modules/vsnprintf">vsnprintf</A>
2259         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vsnprintf.h">vsnprintf.h</A>"
2260         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vsnprintf.c">vsnprintf.c</A>
2261         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vsnprintf.m4">vsnprintf.m4</A><BR>gl_FUNC_VSNPRINTF
2262         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf<BR>minmax
2263       </TR>
2264     </TABLE>
2265     <H3><A NAME="isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2266     <TABLE>
2267       <TR>
2268         <TH ALIGN=LEFT>modules/
2269         <TH ALIGN=LEFT>lib/
2270         <TH ALIGN=LEFT>lib/
2271         <TH ALIGN=LEFT>m4/
2272         <TH ALIGN=LEFT>&nbsp;
2273       </TR>
2274       <TR>
2275         <TH ALIGN=LEFT>Module
2276         <TH ALIGN=LEFT>Header
2277         <TH ALIGN=LEFT>Implementation
2278         <TH ALIGN=LEFT>Autoconf macro
2279         <TH ALIGN=LEFT>Depends on
2280       </TR>
2281       <TR>
2282         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoll"></A><A HREF="modules/strtoll">strtoll</A>
2283         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2284         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoll.c">strtoll.c</A>
2285         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/strtoll.m4">strtoll.m4</A><BR>gl_FUNC_STRTOLL
2286         <TD ALIGN=LEFT VALIGN=TOP>strtol
2287       </TR>
2288       <TR>
2289         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoull"></A><A HREF="modules/strtoull">strtoull</A>
2290         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2291         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoull.c">strtoull.c</A>
2292         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/strtoull.m4">strtoull.m4</A><BR>gl_FUNC_STRTOULL
2293         <TD ALIGN=LEFT VALIGN=TOP>strtoul
2294       </TR>
2295     </TABLE>
2296     <H3><A NAME="isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
2297     <TABLE>
2298       <TR>
2299         <TH ALIGN=LEFT>modules/
2300         <TH ALIGN=LEFT>lib/
2301         <TH ALIGN=LEFT>lib/
2302         <TH ALIGN=LEFT>m4/
2303         <TH ALIGN=LEFT>&nbsp;
2304       </TR>
2305       <TR>
2306         <TH ALIGN=LEFT>Module
2307         <TH ALIGN=LEFT>Header
2308         <TH ALIGN=LEFT>Implementation
2309         <TH ALIGN=LEFT>Autoconf macro
2310         <TH ALIGN=LEFT>Depends on
2311       </TR>
2312       <TR>
2313         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoimax"></A><A HREF="modules/strtoimax">strtoimax</A>
2314         <TD ALIGN=LEFT VALIGN=TOP>---
2315         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoimax.c">strtoimax.c</A>
2316         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/strtoimax.m4">strtoimax.m4</A><BR>gl_FUNC_STRTOIMAX
2317         <TD ALIGN=LEFT VALIGN=TOP>strtoll<BR>verify<BR>inttypes
2318       </TR>
2319       <TR>
2320         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoumax"></A><A HREF="modules/strtoumax">strtoumax</A>
2321         <TD ALIGN=LEFT VALIGN=TOP>---
2322         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoumax.c">strtoumax.c</A>
2323         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/strtoumax.m4">strtoumax.m4</A><BR>gl_FUNC_STRTOUMAX
2324         <TD ALIGN=LEFT VALIGN=TOP>strtoimax<BR>strtoull<BR>inttypes
2325       </TR>
2326     </TABLE>
2327     <H3><A NAME="isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
2328     <TABLE>
2329       <TR>
2330         <TH ALIGN=LEFT>modules/
2331         <TH ALIGN=LEFT>lib/
2332         <TH ALIGN=LEFT>lib/
2333         <TH ALIGN=LEFT>m4/
2334         <TH ALIGN=LEFT>&nbsp;
2335       </TR>
2336       <TR>
2337         <TH ALIGN=LEFT>Module
2338         <TH ALIGN=LEFT>Header
2339         <TH ALIGN=LEFT>Implementation
2340         <TH ALIGN=LEFT>Autoconf macro
2341         <TH ALIGN=LEFT>Depends on
2342       </TR>
2343       <TR>
2344         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mathl"></A><A HREF="modules/mathl">mathl</A>
2345         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mathl.h">mathl.h</A>"
2346         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acosl.c">acosl.c</A><BR><A HREF="lib/asinl.c">asinl.c</A><BR><A HREF="lib/atanl.c">atanl.c</A><BR><A HREF="lib/ceill.c">ceill.c</A><BR><A HREF="lib/cosl.c">cosl.c</A><BR><A HREF="lib/expl.c">expl.c</A><BR><A HREF="lib/floorl.c">floorl.c</A><BR><A HREF="lib/frexpl.c">frexpl.c</A><BR><A HREF="lib/ldexpl.c">ldexpl.c</A><BR><A HREF="lib/logl.c">logl.c</A><BR><A HREF="lib/sincosl.c">sincosl.c</A><BR><A HREF="lib/sinl.c">sinl.c</A><BR><A HREF="lib/sqrtl.c">sqrtl.c</A><BR><A HREF="lib/tanl.c">tanl.c</A><BR><A HREF="lib/trigl.c">trigl.c</A><BR><A HREF="lib/trigl.h">trigl.h</A>
2347         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mathl.m4">mathl.m4</A><BR>gl_FUNC_LONG_DOUBLE_MATH
2348         <TD ALIGN=LEFT VALIGN=TOP>---
2349       </TR>
2350     </TABLE>
2351     <H2><A NAME="isoc_enh">Enhancements for ISO C 99 functions</A></H2>
2352     <TABLE>
2353       <TR>
2354         <TH ALIGN=LEFT>modules/
2355         <TH ALIGN=LEFT>lib/
2356         <TH ALIGN=LEFT>lib/
2357         <TH ALIGN=LEFT>m4/
2358         <TH ALIGN=LEFT>&nbsp;
2359       </TR>
2360       <TR>
2361         <TH ALIGN=LEFT>Module
2362         <TH ALIGN=LEFT>Header
2363         <TH ALIGN=LEFT>Implementation
2364         <TH ALIGN=LEFT>Autoconf macro
2365         <TH ALIGN=LEFT>Depends on
2366       </TR>
2367     </TABLE>
2368     <H2><A NAME="isoc_ext">Extra functions based on ISO C 99</A></H2>
2369     <H3><A NAME="isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2370     <TABLE>
2371       <TR>
2372         <TH ALIGN=LEFT>modules/
2373         <TH ALIGN=LEFT>lib/
2374         <TH ALIGN=LEFT>lib/
2375         <TH ALIGN=LEFT>m4/
2376         <TH ALIGN=LEFT>&nbsp;
2377       </TR>
2378       <TR>
2379         <TH ALIGN=LEFT>Module
2380         <TH ALIGN=LEFT>Header
2381         <TH ALIGN=LEFT>Implementation
2382         <TH ALIGN=LEFT>Autoconf macro
2383         <TH ALIGN=LEFT>Depends on
2384       </TR>
2385       <TR>
2386         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=intprops"></A><A HREF="modules/intprops">intprops</A>
2387         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/intprops.h">intprops.h</A>"
2388         <TD ALIGN=LEFT VALIGN=TOP>---
2389         <TD ALIGN=LEFT VALIGN=TOP>---
2390         <TD ALIGN=LEFT VALIGN=TOP>---
2391       </TR>
2392       <TR>
2393         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inttostr"></A><A HREF="modules/inttostr">inttostr</A>
2394         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inttostr.h">inttostr.h</A>"
2395         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/imaxtostr.c">imaxtostr.c</A><BR><A HREF="lib/inttostr.c">inttostr.c</A><BR><A HREF="lib/offtostr.c">offtostr.c</A><BR><A HREF="lib/umaxtostr.c">umaxtostr.c</A>
2396         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/inttostr.m4">inttostr.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR>gl_INTTOSTR
2397         <TD ALIGN=LEFT VALIGN=TOP>intprops
2398       </TR>
2399       <TR>
2400         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoimax"></A><A HREF="modules/xstrtoimax">xstrtoimax</A>
2401         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2402         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoimax.c">xstrtoimax.c</A>
2403         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoimax.m4">xstrtoimax.m4</A><BR>gl_XSTRTOIMAX
2404         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoimax
2405       </TR>
2406       <TR>
2407         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoumax"></A><A HREF="modules/xstrtoumax">xstrtoumax</A>
2408         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2409         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoumax.c">xstrtoumax.c</A>
2410         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoumax.m4">xstrtoumax.m4</A><BR>gl_XSTRTOUMAX
2411         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoumax
2412       </TR>
2413     </TABLE>
2414     <H3><A NAME="isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
2415     <TABLE>
2416       <TR>
2417         <TH ALIGN=LEFT>modules/
2418         <TH ALIGN=LEFT>lib/
2419         <TH ALIGN=LEFT>lib/
2420         <TH ALIGN=LEFT>m4/
2421         <TH ALIGN=LEFT>&nbsp;
2422       </TR>
2423       <TR>
2424         <TH ALIGN=LEFT>Module
2425         <TH ALIGN=LEFT>Header
2426         <TH ALIGN=LEFT>Implementation
2427         <TH ALIGN=LEFT>Autoconf macro
2428         <TH ALIGN=LEFT>Depends on
2429       </TR>
2430       <TR>
2431         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbchar"></A><A HREF="modules/mbchar">mbchar</A>
2432         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_WCHAR_H && HAVE_WCTYPE_H<BR>#include "<A HREF="lib/mbchar.h">mbchar.h</A>"<BR>#endif
2433         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbchar.c">mbchar.c</A>
2434         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbchar.m4">mbchar.m4</A><BR>gl_MBCHAR
2435         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2436       </TR>
2437       <TR>
2438         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbiter"></A><A HREF="modules/mbiter">mbiter</A>
2439         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbiter.h">mbiter.h</A>"<BR>#endif
2440         <TD ALIGN=LEFT VALIGN=TOP>---
2441         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbiter.m4">mbiter.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBITER
2442         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2443       </TR>
2444       <TR>
2445         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbuiter"></A><A HREF="modules/mbuiter">mbuiter</A>
2446         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbuiter.h">mbuiter.h</A>"<BR>#endif
2447         <TD ALIGN=LEFT VALIGN=TOP>---
2448         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbiter.m4">mbiter.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBITER
2449         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool<BR>strnlen1
2450       </TR>
2451       <TR>
2452         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbfile"></A><A HREF="modules/mbfile">mbfile</A>
2453         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_MBRTOWC<BR>#include "<A HREF="lib/mbfile.h">mbfile.h</A>"<BR>#endif
2454         <TD ALIGN=LEFT VALIGN=TOP>---
2455         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbfile.m4">mbfile.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_MBFILE
2456         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2457       </TR>
2458     </TABLE>
2459     <H2><A NAME="posix_sup">Support for systems lacking POSIX:2001</A></H2>
2460     <TABLE>
2461       <TR>
2462         <TH ALIGN=LEFT>modules/
2463         <TH ALIGN=LEFT>lib/
2464         <TH ALIGN=LEFT>lib/
2465         <TH ALIGN=LEFT>m4/
2466         <TH ALIGN=LEFT>&nbsp;
2467       </TR>
2468       <TR>
2469         <TH ALIGN=LEFT>Module
2470         <TH ALIGN=LEFT>Header
2471         <TH ALIGN=LEFT>Implementation
2472         <TH ALIGN=LEFT>Autoconf macro
2473         <TH ALIGN=LEFT>Depends on
2474       </TR>
2475       <TR>
2476         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chown"></A><A HREF="modules/chown">chown</A>
2477         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2478         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chown.c">chown.c</A><BR><A HREF="lib/fchown-stub.c">fchown-stub.c</A>
2479         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chown.m4">chown.m4</A><BR>gl_FUNC_CHOWN
2480         <TD ALIGN=LEFT VALIGN=TOP>---
2481       </TR>
2482       <TR>
2483         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dup2"></A><A HREF="modules/dup2">dup2</A>
2484         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2485         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dup2.c">dup2.c</A>
2486         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dup2.m4">dup2.m4</A><BR>gl_FUNC_DUP2
2487         <TD ALIGN=LEFT VALIGN=TOP>---
2488       </TR>
2489       <TR>
2490         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ftruncate"></A><A HREF="modules/ftruncate">ftruncate</A>
2491         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2492         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ftruncate.c">ftruncate.c</A>
2493         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ftruncate.m4">ftruncate.m4</A><BR>gl_FUNC_FTRUNCATE
2494         <TD ALIGN=LEFT VALIGN=TOP>---
2495       </TR>
2496       <TR>
2497         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getaddrinfo"></A><A HREF="modules/getaddrinfo">getaddrinfo</A>
2498         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getaddrinfo.h">getaddrinfo.h</A>"
2499         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getaddrinfo.c">getaddrinfo.c</A><BR><A HREF="lib/gai_strerror.c">gai_strerror.c</A>
2500         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getaddrinfo.m4">getaddrinfo.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_GETADDRINFO
2501         <TD ALIGN=LEFT VALIGN=TOP>restrict<BR>gettext-h<BR>socklen<BR>stdbool<BR>strdup<BR>sys_socket
2502       </TR>
2503       <TR>
2504         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getcwd"></A><A HREF="modules/getcwd">getcwd</A>
2505         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getcwd.h">getcwd.h</A>"
2506         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getcwd.c">getcwd.c</A>
2507         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/getcwd-path-max.m4">getcwd-path-max.m4</A><BR><A HREF="m4/getcwd.m4">getcwd.m4</A><BR>gl_FUNC_GETCWD
2508         <TD ALIGN=LEFT VALIGN=TOP>mempcpy<BR>extensions<BR>stdbool
2509       </TR>
2510       <TR>
2511         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getgroups"></A><A HREF="modules/getgroups">getgroups</A>
2512         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2513         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getgroups.c">getgroups.c</A>
2514         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getgroups.m4">getgroups.m4</A><BR>gl_FUNC_GETGROUPS
2515         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2516       </TR>
2517       <TR>
2518         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethostname"></A><A HREF="modules/gethostname">gethostname</A>
2519         <TD ALIGN=LEFT VALIGN=TOP>---
2520         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethostname.c">gethostname.c</A>
2521         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethostname.m4">gethostname.m4</A><BR>gl_FUNC_GETHOSTNAME
2522         <TD ALIGN=LEFT VALIGN=TOP>---
2523       </TR>
2524       <TR>
2525         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getlogin_r"></A><A HREF="modules/getlogin_r">getlogin_r</A>
2526         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getlogin_r.h">getlogin_r.h</A>"
2527         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getlogin_r.c">getlogin_r.c</A>
2528         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getlogin_r.m4">getlogin_r.m4</A><BR>gl_GETLOGIN_R
2529         <TD ALIGN=LEFT VALIGN=TOP>unistd
2530       </TR>
2531       <TR>
2532         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getsubopt"></A><A HREF="modules/getsubopt">getsubopt</A>
2533         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getsubopt.h">getsubopt.h</A>"
2534         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getsubopt.c">getsubopt.c</A>
2535         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getsubopt.m4">getsubopt.m4</A><BR>gl_FUNC_GETSUBOPT
2536         <TD ALIGN=LEFT VALIGN=TOP>strchrnul
2537       </TR>
2538       <TR>
2539         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettimeofday"></A><A HREF="modules/gettimeofday">gettimeofday</A>
2540         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/time.h.html">sys/time.h</A>&gt;
2541         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettimeofday.c">gettimeofday.c</A>
2542         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gettimeofday.m4">gettimeofday.m4</A><BR>AC_FUNC_GETTIMEOFDAY_CLOBBER
2543         <TD ALIGN=LEFT VALIGN=TOP>---
2544       </TR>
2545       <TR>
2546         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inet_ntop"></A><A HREF="modules/inet_ntop">inet_ntop</A>
2547         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inet_ntop.h">inet_ntop.h</A>"
2548         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inet_ntop.c">inet_ntop.c</A>
2549         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inet_ntop.m4">inet_ntop.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_INET_NTOP
2550         <TD ALIGN=LEFT VALIGN=TOP>restrict<BR>socklen<BR>sys_socket
2551       </TR>
2552       <TR>
2553         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inet_pton"></A><A HREF="modules/inet_pton">inet_pton</A>
2554         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inet_pton.h">inet_pton.h</A>"
2555         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inet_pton.c">inet_pton.c</A>
2556         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inet_pton.m4">inet_pton.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_INET_PTON
2557         <TD ALIGN=LEFT VALIGN=TOP>restrict<BR>socklen<BR>sys_socket
2558       </TR>
2559       <TR>
2560         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir"></A><A HREF="modules/mkdir">mkdir</A>
2561         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/stat.h.html">sys/stat.h</A>&gt;
2562         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdir.c">mkdir.c</A>
2563         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mkdir-slash.m4">mkdir-slash.m4</A><BR>gl_FUNC_MKDIR_TRAILING_SLASH
2564         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2565       </TR>
2566       <TR>
2567         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkstemp"></A><A HREF="modules/mkstemp">mkstemp</A>
2568         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2569         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkstemp.c">mkstemp.c</A><BR><A HREF="lib/tempname.c">tempname.c</A>
2570         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkstemp.m4">mkstemp.m4</A><BR>gl_FUNC_MKSTEMP
2571         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2572       </TR>
2573       <TR>
2574         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdtemp"></A><A HREF="modules/mkdtemp">mkdtemp</A>
2575         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdtemp.h">mkdtemp.h</A>"
2576         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdtemp.c">mkdtemp.c</A>
2577         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkdtemp.m4">mkdtemp.m4</A><BR>gt_FUNC_MKDTEMP
2578         <TD ALIGN=LEFT VALIGN=TOP>unistd
2579       </TR>
2580       <TR>
2581         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=poll"></A><A HREF="modules/poll">poll</A>
2582         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/poll.h.html">poll.h</A>&gt;
2583         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/poll.c">poll.c</A><BR><A HREF="lib/poll_.h">poll_.h</A>
2584         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/poll.m4">poll.m4</A><BR>gl_FUNC_POLL
2585         <TD ALIGN=LEFT VALIGN=TOP>---
2586       </TR>
2587       <TR>
2588         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readlink"></A><A HREF="modules/readlink">readlink</A>
2589         <TD ALIGN=LEFT VALIGN=TOP>---
2590         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readlink.c">readlink.c</A>
2591         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readlink.m4">readlink.m4</A><BR>gl_FUNC_READLINK
2592         <TD ALIGN=LEFT VALIGN=TOP>---
2593       </TR>
2594       <TR>
2595         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lstat"></A><A HREF="modules/lstat">lstat</A>
2596         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lstat.h">lstat.h</A>"
2597         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lstat.c">lstat.c</A>
2598         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lstat.m4">lstat.m4</A><BR>gl_FUNC_LSTAT
2599         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2600       </TR>
2601       <TR>
2602         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=time_r"></A><A HREF="modules/time_r">time_r</A>
2603         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/time_r.h">time_r.h</A>"
2604         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/time_r.c">time_r.c</A>
2605         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/time_r.m4">time_r.m4</A><BR>gl_TIME_R
2606         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>restrict
2607       </TR>
2608       <TR>
2609         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timespec"></A><A HREF="modules/timespec">timespec</A>
2610         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
2611         <TD ALIGN=LEFT VALIGN=TOP>---
2612         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/timespec.m4">timespec.m4</A><BR>gl_TIMESPEC
2613         <TD ALIGN=LEFT VALIGN=TOP>extensions
2614       </TR>
2615       <TR>
2616         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=nanosleep"></A><A HREF="modules/nanosleep">nanosleep</A>
2617         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
2618         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/nanosleep.c">nanosleep.c</A>
2619         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/nanosleep.m4">nanosleep.m4</A><BR>gl_FUNC_NANOSLEEP
2620         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool<BR>extensions
2621       </TR>
2622       <TR>
2623         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=regex"></A><A HREF="modules/regex">regex</A>
2624         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/regex.h">regex.h</A>"
2625         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/regex.c">regex.c</A><BR><A HREF="lib/regex_internal.c">regex_internal.c</A><BR><A HREF="lib/regex_internal.h">regex_internal.h</A><BR><A HREF="lib/regexec.c">regexec.c</A><BR><A HREF="lib/regcomp.c">regcomp.c</A>
2626         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/regex.m4">regex.m4</A><BR>gl_REGEX
2627         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>gettext-h<BR>malloc<BR>restrict<BR>strcase<BR>ssize_t
2628       </TR>
2629       <TR>
2630         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rename"></A><A HREF="modules/rename">rename</A>
2631         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdio.h.html">stdio.h</A>&gt;
2632         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rename.c">rename.c</A>
2633         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rename.m4">rename.m4</A><BR>vb_FUNC_RENAME
2634         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2635       </TR>
2636       <TR>
2637         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rmdir"></A><A HREF="modules/rmdir">rmdir</A>
2638         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2639         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rmdir.c">rmdir.c</A>
2640         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rmdir.m4">rmdir.m4</A><BR>gl_FUNC_RMDIR
2641         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2642       </TR>
2643       <TR>
2644         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ssize_t"></A><A HREF="modules/ssize_t">ssize_t</A>
2645         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;
2646         <TD ALIGN=LEFT VALIGN=TOP>---
2647         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ssize_t.m4">ssize_t.m4</A><BR>gt_TYPE_SSIZE_T
2648         <TD ALIGN=LEFT VALIGN=TOP>---
2649       </TR>
2650       <TR>
2651         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtok_r"></A><A HREF="modules/strtok_r">strtok_r</A>
2652         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strtok_r.h">strtok_r.h</A>"
2653         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtok_r.c">strtok_r.c</A>
2654         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtok_r.m4">strtok_r.m4</A><BR>gl_FUNC_STRTOK_R
2655         <TD ALIGN=LEFT VALIGN=TOP>restrict
2656       </TR>
2657       <TR>
2658         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unistd"></A><A HREF="modules/unistd">unistd</A>
2659         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2660         <TD ALIGN=LEFT VALIGN=TOP>---
2661         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unistd_h.m4">unistd_h.m4</A><BR>gl_HEADER_UNISTD
2662         <TD ALIGN=LEFT VALIGN=TOP>---
2663       </TR>
2664       <TR>
2665         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utime"></A><A HREF="modules/utime">utime</A>
2666         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_UTIME_H<BR># include &lt;utime.h&gt;<BR>#else<BR># include &lt;sys/utime.h&gt;<BR>#endif
2667         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utime.c">utime.c</A>
2668         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimbuf.m4">utimbuf.m4</A><BR><A HREF="m4/utime.m4">utime.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR><A HREF="m4/utimes-null.m4">utimes-null.m4</A><BR>gl_FUNC_UTIME
2669         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
2670       </TR>
2671     </TABLE>
2672     <H2><A NAME="posix_enh">Enhancements for POSIX:2001 functions</A></H2>
2673     <TABLE>
2674       <TR>
2675         <TH ALIGN=LEFT>modules/
2676         <TH ALIGN=LEFT>lib/
2677         <TH ALIGN=LEFT>lib/
2678         <TH ALIGN=LEFT>m4/
2679         <TH ALIGN=LEFT>&nbsp;
2680       </TR>
2681       <TR>
2682         <TH ALIGN=LEFT>Module
2683         <TH ALIGN=LEFT>Header
2684         <TH ALIGN=LEFT>Implementation
2685         <TH ALIGN=LEFT>Autoconf macro
2686         <TH ALIGN=LEFT>Depends on
2687       </TR>
2688       <TR>
2689         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirname"></A><A HREF="modules/dirname">dirname</A>
2690         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirname.h">dirname.h</A>"
2691         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirname.c">dirname.c</A><BR><A HREF="lib/basename.c">basename.c</A><BR><A HREF="lib/stripslash.c">stripslash.c</A>
2692         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/dirname.m4">dirname.m4</A><BR>gl_DIRNAME
2693         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
2694       </TR>
2695       <TR>
2696         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getopt"></A><A HREF="modules/getopt">getopt</A>
2697         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;getopt.h&gt;
2698         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getopt_.h">getopt_.h</A><BR><A HREF="lib/getopt.c">getopt.c</A><BR><A HREF="lib/getopt1.c">getopt1.c</A><BR><A HREF="lib/getopt_int.h">getopt_int.h</A>
2699         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getopt.m4">getopt.m4</A><BR>gl_GETOPT
2700         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>unistd
2701       </TR>
2702       <TR>
2703         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unistd-safer"></A><A HREF="modules/unistd-safer">unistd-safer</A>
2704         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unistd-safer.h">unistd-safer.h</A>"
2705         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unistd--.h">unistd--.h</A><BR><A HREF="lib/dup-safer.c">dup-safer.c</A><BR><A HREF="lib/fd-safer.c">fd-safer.c</A><BR><A HREF="lib/pipe-safer.c">pipe-safer.c</A>
2706         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unistd-safer.m4">unistd-safer.m4</A><BR>gl_UNISTD_SAFER
2707         <TD ALIGN=LEFT VALIGN=TOP>---
2708       </TR>
2709       <TR>
2710         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch"></A><A HREF="modules/fnmatch">fnmatch</A>
2711         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/fnmatch.h.html">fnmatch.h</A>&gt;
2712         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fnmatch_.h">fnmatch_.h</A><BR><A HREF="lib/fnmatch.c">fnmatch.c</A><BR><A HREF="lib/fnmatch_loop.c">fnmatch_loop.c</A>
2713         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/fnmatch.m4">fnmatch.m4</A><BR># No macro. You should also use one of fnmatch-posix or fnmatch-gnu.
2714         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>stdbool
2715       </TR>
2716       <TR>
2717         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-posix"></A><A HREF="modules/fnmatch-posix">fnmatch-posix</A>
2718         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2719         <TD ALIGN=LEFT VALIGN=TOP>---
2720         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_POSIX
2721         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2722       </TR>
2723       <TR>
2724         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-gnu"></A><A HREF="modules/fnmatch-gnu">fnmatch-gnu</A>
2725         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2726         <TD ALIGN=LEFT VALIGN=TOP>---
2727         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_GNU
2728         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2729       </TR>
2730       <TR>
2731         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=glob"></A><A HREF="modules/glob">glob</A>
2732         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/glob.h.html">glob.h</A>&gt;
2733         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/glob_.h">glob_.h</A><BR><A HREF="lib/glob-libc.h">glob-libc.h</A><BR><A HREF="lib/glob.c">glob.c</A>
2734         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-type.m4">d-type.m4</A><BR><A HREF="m4/glob.m4">glob.m4</A><BR>gl_GLOB
2735         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>fnmatch<BR>getlogin_r<BR>mempcpy<BR>restrict<BR>stat-macros<BR>stdbool<BR>strdup<BR>unistd
2736       </TR>
2737       <TR>
2738         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exclude"></A><A HREF="modules/exclude">exclude</A>
2739         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exclude.h">exclude.h</A>"
2740         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exclude.c">exclude.c</A>
2741         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exclude.m4">exclude.m4</A><BR>gl_EXCLUDE
2742         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>strcase<BR>fnmatch-gnu<BR>stdbool<BR>verify
2743       </TR>
2744     </TABLE>
2745     <H2><A NAME="posix_ext">Extra functions based on POSIX:2001</A></H2>
2746     <H3><A NAME="posix_ext_conv">Numeric conversion functions</A></H3>
2747     <TABLE>
2748       <TR>
2749         <TH ALIGN=LEFT>modules/
2750         <TH ALIGN=LEFT>lib/
2751         <TH ALIGN=LEFT>lib/
2752         <TH ALIGN=LEFT>m4/
2753         <TH ALIGN=LEFT>&nbsp;
2754       </TR>
2755       <TR>
2756         <TH ALIGN=LEFT>Module
2757         <TH ALIGN=LEFT>Header
2758         <TH ALIGN=LEFT>Implementation
2759         <TH ALIGN=LEFT>Autoconf macro
2760         <TH ALIGN=LEFT>Depends on
2761       </TR>
2762       <TR>
2763         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=human"></A><A HREF="modules/human">human</A>
2764         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/human.h">human.h</A>"
2765         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/human.c">human.c</A>
2766         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/human.m4">human.m4</A><BR>gl_HUMAN
2767         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>argmatch<BR>error<BR>intprops<BR>xstrtoumax<BR>stdbool
2768       </TR>
2769     </TABLE>
2770     <H3><A NAME="posix_ext_filesys">File system functions</A></H3>
2771     <TABLE>
2772       <TR>
2773         <TH ALIGN=LEFT>modules/
2774         <TH ALIGN=LEFT>lib/
2775         <TH ALIGN=LEFT>lib/
2776         <TH ALIGN=LEFT>m4/
2777         <TH ALIGN=LEFT>&nbsp;
2778       </TR>
2779       <TR>
2780         <TH ALIGN=LEFT>Module
2781         <TH ALIGN=LEFT>Header
2782         <TH ALIGN=LEFT>Implementation
2783         <TH ALIGN=LEFT>Autoconf macro
2784         <TH ALIGN=LEFT>Depends on
2785       </TR>
2786       <TR>
2787         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=acl"></A><A HREF="modules/acl">acl</A>
2788         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/acl.h">acl.h</A>"
2789         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acl.c">acl.c</A>
2790         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/acl.m4">acl.m4</A><BR>AC_FUNC_ACL
2791         <TD ALIGN=LEFT VALIGN=TOP>---
2792       </TR>
2793       <TR>
2794         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=backupfile"></A><A HREF="modules/backupfile">backupfile</A>
2795         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/backupfile.h">backupfile.h</A>"
2796         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/backupfile.c">backupfile.c</A>
2797         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/backupfile.m4">backupfile.m4</A><BR>gl_BACKUPFILE
2798         <TD ALIGN=LEFT VALIGN=TOP>argmatch<BR>dirname<BR>stdbool
2799       </TR>
2800       <TR>
2801         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canonicalize"></A><A HREF="modules/canonicalize">canonicalize</A>
2802         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/canonicalize.h">canonicalize.h</A>"
2803         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canonicalize.c">canonicalize.c</A><BR><A HREF="lib/pathmax.h">pathmax.h</A>
2804         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canonicalize.m4">canonicalize.m4</A><BR>AC_FUNC_CANONICALIZE_FILE_NAME
2805         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>filenamecat<BR>stat-macros<BR>xalloc<BR>xgetcwd<BR>xreadlink
2806       </TR>
2807       <TR>
2808         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=copy-file"></A><A HREF="modules/copy-file">copy-file</A>
2809         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/copy-file.h">copy-file.h</A>"
2810         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/copy-file.c">copy-file.c</A>
2811         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/copy-file.m4">copy-file.m4</A><BR>gl_COPY_FILE
2812         <TD ALIGN=LEFT VALIGN=TOP>error<BR>safe-read<BR>full-write<BR>binary-io<BR>exit<BR>gettext-h<BR>unistd
2813       </TR>
2814       <TR>
2815         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cycle-check"></A><A HREF="modules/cycle-check">cycle-check</A>
2816         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cycle-check.h">cycle-check.h</A>"
2817         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cycle-check.c">cycle-check.c</A><BR><A HREF="lib/dev-ino.h">dev-ino.h</A>
2818         <TD ALIGN=LEFT VALIGN=TOP>---
2819         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2820       </TR>
2821       <TR>
2822         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fsusage"></A><A HREF="modules/fsusage">fsusage</A>
2823         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fsusage.h">fsusage.h</A>"
2824         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fsusage.c">fsusage.c</A>
2825         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/fsusage.m4">fsusage.m4</A><BR>gl_FSUSAGE
2826         <TD ALIGN=LEFT VALIGN=TOP>full-read<BR>stdbool
2827       </TR>
2828       <TR>
2829         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirfd"></A><A HREF="modules/dirfd">dirfd</A>
2830         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirfd.h">dirfd.h</A>"
2831         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirfd.c">dirfd.c</A>
2832         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dirfd.m4">dirfd.m4</A><BR>gl_FUNC_DIRFD
2833         <TD ALIGN=LEFT VALIGN=TOP>---
2834       </TR>
2835       <TR>
2836         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=euidaccess"></A><A HREF="modules/euidaccess">euidaccess</A>
2837         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/euidaccess.h">euidaccess.h</A>"
2838         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/euidaccess.c">euidaccess.c</A>
2839         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/euidaccess.m4">euidaccess.m4</A><BR>gl_FUNC_EUIDACCESS
2840         <TD ALIGN=LEFT VALIGN=TOP>group-member<BR>stat-macros
2841       </TR>
2842       <TR>
2843         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=file-type"></A><A HREF="modules/file-type">file-type</A>
2844         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/file-type.h">file-type.h</A>"
2845         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/file-type.c">file-type.c</A>
2846         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/file-type.m4">file-type.m4</A><BR>gl_FILE_TYPE
2847         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>stat-macros
2848       </TR>
2849       <TR>
2850         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fileblocks"></A><A HREF="modules/fileblocks">fileblocks</A>
2851         <TD ALIGN=LEFT VALIGN=TOP>---
2852         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fileblocks.c">fileblocks.c</A>
2853         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fileblocks.m4">fileblocks.m4</A><BR>gl_FILEBLOCKS
2854         <TD ALIGN=LEFT VALIGN=TOP>---
2855       </TR>
2856       <TR>
2857         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filemode"></A><A HREF="modules/filemode">filemode</A>
2858         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filemode.h">filemode.h</A>"
2859         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filemode.c">filemode.c</A>
2860         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/filemode.m4">filemode.m4</A><BR>gl_FILEMODE
2861         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2862       </TR>
2863       <TR>
2864         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filenamecat"></A><A HREF="modules/filenamecat">filenamecat</A>
2865         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filenamecat.h">filenamecat.h</A>"
2866         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filenamecat.c">filenamecat.c</A>
2867         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/filenamecat.m4">filenamecat.m4</A><BR>gl_FILE_NAME_CONCAT
2868         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2869       </TR>
2870       <TR>
2871         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts"></A><A HREF="modules/fts">fts</A>
2872         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
2873         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A><BR><A HREF="lib/fts-cycle.c">fts-cycle.c</A>
2874         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS
2875         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>dirfd<BR>hash<BR>lstat<BR>stdbool<BR>fcntl-safer
2876       </TR>
2877       <TR>
2878         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts-lgpl"></A><A HREF="modules/fts-lgpl">fts-lgpl</A>
2879         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
2880         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A>
2881         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS_LGPL
2882         <TD ALIGN=LEFT VALIGN=TOP>dirfd<BR>stdbool
2883       </TR>
2884       <TR>
2885         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=isdir"></A><A HREF="modules/isdir">isdir</A>
2886         <TD ALIGN=LEFT VALIGN=TOP>---
2887         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/isdir.c">isdir.c</A>
2888         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/isdir.m4">isdir.m4</A><BR>gl_ISDIR
2889         <TD ALIGN=LEFT VALIGN=TOP>---
2890       </TR>
2891       <TR>
2892         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lchown"></A><A HREF="modules/lchown">lchown</A>
2893         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lchown.h">lchown.h</A>"
2894         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lchown.c">lchown.c</A>
2895         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lchown.m4">lchown.m4</A><BR>gl_FUNC_LCHOWN
2896         <TD ALIGN=LEFT VALIGN=TOP>chown<BR>stat-macros
2897       </TR>
2898       <TR>
2899         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir-p"></A><A HREF="modules/mkdir-p">mkdir-p</A>
2900         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdir-p.h">mkdir-p.h</A>"
2901         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chdir-safer.c">chdir-safer.c</A><BR><A HREF="lib/chdir-safer.h">chdir-safer.h</A><BR><A HREF="lib/lchmod.h">lchmod.h</A><BR><A HREF="lib/mkdir-p.c">mkdir-p.c</A>
2902         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/afs.m4">afs.m4</A><BR><A HREF="m4/chdir-safer.m4">chdir-safer.m4</A><BR><A HREF="m4/lchmod.m4">lchmod.m4</A><BR><A HREF="m4/mkdir-p.m4">mkdir-p.m4</A><BR>gl_MKDIR_PARENTS
2903         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>chown<BR>gettext-h<BR>save-cwd<BR>dirname<BR>error<BR>quote<BR>stat-macros<BR>stdbool
2904       </TR>
2905       <TR>
2906         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=modechange"></A><A HREF="modules/modechange">modechange</A>
2907         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/modechange.h">modechange.h</A>"
2908         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/modechange.c">modechange.c</A>
2909         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/modechange.m4">modechange.m4</A><BR>gl_MODECHANGE
2910         <TD ALIGN=LEFT VALIGN=TOP>stat-macros<BR>xalloc
2911       </TR>
2912       <TR>
2913         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mountlist"></A><A HREF="modules/mountlist">mountlist</A>
2914         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mountlist.h">mountlist.h</A>"
2915         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mountlist.c">mountlist.c</A>
2916         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ls-mntd-fs.m4">ls-mntd-fs.m4</A><BR><A HREF="m4/fstypename.m4">fstypename.m4</A><BR><A HREF="m4/mountlist.m4">mountlist.m4</A><BR>gl_MOUNTLIST
2917         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
2918       </TR>
2919       <TR>
2920         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathname"></A><A HREF="modules/pathname">pathname</A>
2921         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathname.h">pathname.h</A>"
2922         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/concatpath.c">concatpath.c</A>
2923         <TD ALIGN=LEFT VALIGN=TOP>---
2924         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stpcpy
2925       </TR>
2926       <TR>
2927         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathmax"></A><A HREF="modules/pathmax">pathmax</A>
2928         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathmax.h">pathmax.h</A>"
2929         <TD ALIGN=LEFT VALIGN=TOP>---
2930         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pathmax.m4">pathmax.m4</A><BR>gl_PATHMAX
2931         <TD ALIGN=LEFT VALIGN=TOP>---
2932       </TR>
2933       <TR>
2934         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=same"></A><A HREF="modules/same">same</A>
2935         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/same.h">same.h</A>"
2936         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/same.c">same.c</A>
2937         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/same.m4">same.m4</A><BR>gl_SAME
2938         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>dirname<BR>stdbool
2939       </TR>
2940       <TR>
2941         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=save-cwd"></A><A HREF="modules/save-cwd">save-cwd</A>
2942         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/save-cwd.h">save-cwd.h</A>"
2943         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/save-cwd.c">save-cwd.c</A>
2944         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/save-cwd.m4">save-cwd.m4</A><BR>gl_SAVE_CWD
2945         <TD ALIGN=LEFT VALIGN=TOP>chdir-long<BR>fcntl-safer<BR>xgetcwd<BR>stdbool
2946       </TR>
2947       <TR>
2948         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=savedir"></A><A HREF="modules/savedir">savedir</A>
2949         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/savedir.h">savedir.h</A>"
2950         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/savedir.c">savedir.c</A>
2951         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/savedir.m4">savedir.m4</A><BR>gl_SAVEDIR
2952         <TD ALIGN=LEFT VALIGN=TOP>openat<BR>xalloc
2953       </TR>
2954       <TR>
2955         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stat-time"></A><A HREF="modules/stat-time">stat-time</A>
2956         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stat-time.h">stat-time.h</A>"
2957         <TD ALIGN=LEFT VALIGN=TOP>---
2958         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stat-time.m4">stat-time.m4</A><BR>gl_STAT_TIME
2959         <TD ALIGN=LEFT VALIGN=TOP>timespec
2960       </TR>
2961       <TR>
2962         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlinkdir"></A><A HREF="modules/unlinkdir">unlinkdir</A>
2963         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlinkdir.h">unlinkdir.h</A>"
2964         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unlinkdir.c">unlinkdir.c</A>
2965         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlinkdir.m4">unlinkdir.m4</A><BR>gl_UNLINKDIR
2966         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2967       </TR>
2968       <TR>
2969         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimecmp"></A><A HREF="modules/utimecmp">utimecmp</A>
2970         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimecmp.h">utimecmp.h</A>"
2971         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utimecmp.c">utimecmp.c</A>
2972         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimecmp.m4">utimecmp.m4</A><BR>gl_UTIMECMP
2973         <TD ALIGN=LEFT VALIGN=TOP>hash<BR>stat-time<BR>timespec<BR>utimens<BR>xalloc<BR>intprops<BR>stdbool<BR>verify
2974       </TR>
2975       <TR>
2976         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimens"></A><A HREF="modules/utimens">utimens</A>
2977         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimens.h">utimens.h</A>"
2978         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utimens.c">utimens.c</A>
2979         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimbuf.m4">utimbuf.m4</A><BR><A HREF="m4/utimens.m4">utimens.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR>gl_UTIMENS
2980         <TD ALIGN=LEFT VALIGN=TOP>timespec
2981       </TR>
2982       <TR>
2983         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetcwd"></A><A HREF="modules/xgetcwd">xgetcwd</A>
2984         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetcwd.h">xgetcwd.h</A>"
2985         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetcwd.c">xgetcwd.c</A>
2986         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xgetcwd.m4">xgetcwd.m4</A><BR>gl_XGETCWD
2987         <TD ALIGN=LEFT VALIGN=TOP>getcwd<BR>xalloc<BR>stdbool
2988       </TR>
2989       <TR>
2990         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xreadlink"></A><A HREF="modules/xreadlink">xreadlink</A>
2991         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xreadlink.h">xreadlink.h</A>"
2992         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xreadlink.c">xreadlink.c</A>
2993         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xreadlink.m4">xreadlink.m4</A><BR>gl_XREADLINK
2994         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>readlink<BR>ssize_t
2995       </TR>
2996     </TABLE>
2997     <H3><A NAME="posix_ext_filedesc">File descriptor based Input/Output</A></H3>
2998     <TABLE>
2999       <TR>
3000         <TH ALIGN=LEFT>modules/
3001         <TH ALIGN=LEFT>lib/
3002         <TH ALIGN=LEFT>lib/
3003         <TH ALIGN=LEFT>m4/
3004         <TH ALIGN=LEFT>&nbsp;
3005       </TR>
3006       <TR>
3007         <TH ALIGN=LEFT>Module
3008         <TH ALIGN=LEFT>Header
3009         <TH ALIGN=LEFT>Implementation
3010         <TH ALIGN=LEFT>Autoconf macro
3011         <TH ALIGN=LEFT>Depends on
3012       </TR>
3013       <TR>
3014         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fcntl-safer"></A><A HREF="modules/fcntl-safer">fcntl-safer</A>
3015         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fcntl-safer.h">fcntl-safer.h</A>"
3016         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fcntl--.h">fcntl--.h</A><BR><A HREF="lib/creat-safer.c">creat-safer.c</A><BR><A HREF="lib/open-safer.c">open-safer.c</A>
3017         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fcntl-safer.m4">fcntl-safer.m4</A><BR>gl_FCNTL_SAFER
3018         <TD ALIGN=LEFT VALIGN=TOP>unistd-safer
3019       </TR>
3020       <TR>
3021         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-read"></A><A HREF="modules/safe-read">safe-read</A>
3022         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-read.h">safe-read.h</A>"
3023         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-read.c">safe-read.c</A>
3024         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-read.m4">safe-read.m4</A><BR>gl_SAFE_READ
3025         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
3026       </TR>
3027       <TR>
3028         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-write"></A><A HREF="modules/safe-write">safe-write</A>
3029         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-write.h">safe-write.h</A>"
3030         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-write.c">safe-write.c</A>
3031         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-write.m4">safe-write.m4</A><BR>gl_SAFE_WRITE
3032         <TD ALIGN=LEFT VALIGN=TOP>safe-read
3033       </TR>
3034       <TR>
3035         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-read"></A><A HREF="modules/full-read">full-read</A>
3036         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-read.h">full-read.h</A>"
3037         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-read.c">full-read.c</A>
3038         <TD ALIGN=LEFT VALIGN=TOP>---
3039         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
3040       </TR>
3041       <TR>
3042         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-write"></A><A HREF="modules/full-write">full-write</A>
3043         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-write.h">full-write.h</A>"
3044         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-write.c">full-write.c</A>
3045         <TD ALIGN=LEFT VALIGN=TOP>---
3046         <TD ALIGN=LEFT VALIGN=TOP>safe-write
3047       </TR>
3048       <TR>
3049         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=binary-io"></A><A HREF="modules/binary-io">binary-io</A>
3050         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/binary-io.h">binary-io.h</A>"
3051         <TD ALIGN=LEFT VALIGN=TOP>---
3052         <TD ALIGN=LEFT VALIGN=TOP>---
3053         <TD ALIGN=LEFT VALIGN=TOP>---
3054       </TR>
3055     </TABLE>
3056     <H3><A NAME="posix_ext_filestream">File stream based Input/Output</A></H3>
3057     <TABLE>
3058       <TR>
3059         <TH ALIGN=LEFT>modules/
3060         <TH ALIGN=LEFT>lib/
3061         <TH ALIGN=LEFT>lib/
3062         <TH ALIGN=LEFT>m4/
3063         <TH ALIGN=LEFT>&nbsp;
3064       </TR>
3065       <TR>
3066         <TH ALIGN=LEFT>Module
3067         <TH ALIGN=LEFT>Header
3068         <TH ALIGN=LEFT>Implementation
3069         <TH ALIGN=LEFT>Autoconf macro
3070         <TH ALIGN=LEFT>Depends on
3071       </TR>
3072       <TR>
3073         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fpending"></A><A HREF="modules/fpending">fpending</A>
3074         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/__fpending.h">__fpending.h</A>"
3075         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/__fpending.c">__fpending.c</A>
3076         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fpending.m4">fpending.m4</A><BR>gl_FUNC_FPENDING
3077         <TD ALIGN=LEFT VALIGN=TOP>---
3078       </TR>
3079       <TR>
3080         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=closeout"></A><A HREF="modules/closeout">closeout</A>
3081         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/closeout.h">closeout.h</A>"
3082         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/closeout.c">closeout.c</A>
3083         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/closeout.m4">closeout.m4</A><BR>gl_CLOSEOUT
3084         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>error<BR>quotearg<BR>fpending<BR>exitfail<BR>stdbool
3085       </TR>
3086       <TR>
3087         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdio-safer"></A><A HREF="modules/stdio-safer">stdio-safer</A>
3088         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stdio-safer.h">stdio-safer.h</A>"
3089         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdio--.h">stdio--.h</A><BR><A HREF="lib/fopen-safer.c">fopen-safer.c</A>
3090         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdio-safer.m4">stdio-safer.m4</A><BR>gl_STDIO_SAFER
3091         <TD ALIGN=LEFT VALIGN=TOP>unistd-safer
3092       </TR>
3093       <TR>
3094         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass"></A><A HREF="modules/getpass">getpass</A>
3095         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
3096         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
3097         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS
3098         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
3099       </TR>
3100       <TR>
3101         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass-gnu"></A><A HREF="modules/getpass-gnu">getpass-gnu</A>
3102         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
3103         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
3104         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS_GNU
3105         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
3106       </TR>
3107     </TABLE>
3108     <H3><A NAME="posix_ext_uidgid">Users and groups</A></H3>
3109     <TABLE>
3110       <TR>
3111         <TH ALIGN=LEFT>modules/
3112         <TH ALIGN=LEFT>lib/
3113         <TH ALIGN=LEFT>lib/
3114         <TH ALIGN=LEFT>m4/
3115         <TH ALIGN=LEFT>&nbsp;
3116       </TR>
3117       <TR>
3118         <TH ALIGN=LEFT>Module
3119         <TH ALIGN=LEFT>Header
3120         <TH ALIGN=LEFT>Implementation
3121         <TH ALIGN=LEFT>Autoconf macro
3122         <TH ALIGN=LEFT>Depends on
3123       </TR>
3124       <TR>
3125         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getugroups"></A><A HREF="modules/getugroups">getugroups</A>
3126         <TD ALIGN=LEFT VALIGN=TOP>---
3127         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getugroups.c">getugroups.c</A>
3128         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getugroups.m4">getugroups.m4</A><BR>gl_GETUGROUPS
3129         <TD ALIGN=LEFT VALIGN=TOP>---
3130       </TR>
3131       <TR>
3132         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=group-member"></A><A HREF="modules/group-member">group-member</A>
3133         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/group-member.h">group-member.h</A>"
3134         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/group-member.c">group-member.c</A>
3135         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/group-member.m4">group-member.m4</A><BR>gl_FUNC_GROUP_MEMBER
3136         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
3137       </TR>
3138       <TR>
3139         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=idcache"></A><A HREF="modules/idcache">idcache</A>
3140         <TD ALIGN=LEFT VALIGN=TOP>---
3141         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/idcache.c">idcache.c</A>
3142         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/idcache.m4">idcache.m4</A><BR>gl_IDCACHE
3143         <TD ALIGN=LEFT VALIGN=TOP>xalloc
3144       </TR>
3145       <TR>
3146         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=userspec"></A><A HREF="modules/userspec">userspec</A>
3147         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/userspec.h">userspec.h</A>"
3148         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inttostr.h">inttostr.h</A><BR><A HREF="lib/userspec.c">userspec.c</A>
3149         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/userspec.m4">userspec.m4</A><BR>gl_USERSPEC
3150         <TD ALIGN=LEFT VALIGN=TOP>posixver<BR>xalloc<BR>xstrtol<BR>strdup<BR>gettext-h<BR>intprops<BR>stdbool
3151       </TR>
3152     </TABLE>
3153     <H3><A NAME="posix_ext_datetime">Date and time</A></H3>
3154     <TABLE>
3155       <TR>
3156         <TH ALIGN=LEFT>modules/
3157         <TH ALIGN=LEFT>lib/
3158         <TH ALIGN=LEFT>lib/
3159         <TH ALIGN=LEFT>m4/
3160         <TH ALIGN=LEFT>&nbsp;
3161       </TR>
3162       <TR>
3163         <TH ALIGN=LEFT>Module
3164         <TH ALIGN=LEFT>Header
3165         <TH ALIGN=LEFT>Implementation
3166         <TH ALIGN=LEFT>Autoconf macro
3167         <TH ALIGN=LEFT>Depends on
3168       </TR>
3169       <TR>
3170         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettime"></A><A HREF="modules/gettime">gettime</A>
3171         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
3172         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettime.c">gettime.c</A>
3173         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/gettime.m4">gettime.m4</A><BR>gl_GETTIME
3174         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday<BR>timespec<BR>extensions
3175       </TR>
3176       <TR>
3177         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=settime"></A><A HREF="modules/settime">settime</A>
3178         <TD ALIGN=LEFT VALIGN=TOP>---
3179         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/settime.c">settime.c</A>
3180         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/settime.m4">settime.m4</A><BR>gl_SETTIME
3181         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>extensions
3182       </TR>
3183       <TR>
3184         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixtm"></A><A HREF="modules/posixtm">posixtm</A>
3185         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixtm.h">posixtm.h</A>"
3186         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixtm.c">posixtm.c</A>
3187         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixtm.m4">posixtm.m4</A><BR>gl_POSIXTM
3188         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>stdbool
3189       </TR>
3190       <TR>
3191         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xnanosleep"></A><A HREF="modules/xnanosleep">xnanosleep</A>
3192         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xnanosleep.h">xnanosleep.h</A>"
3193         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xnanosleep.c">xnanosleep.c</A>
3194         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xnanosleep.m4">xnanosleep.m4</A><BR>gl_XNANOSLEEP
3195         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>intprops<BR>stdbool
3196       </TR>
3197     </TABLE>
3198     <H3><A NAME="posix_ext_net">Networking functions</A></H3>
3199     <TABLE>
3200       <TR>
3201         <TH ALIGN=LEFT>modules/
3202         <TH ALIGN=LEFT>lib/
3203         <TH ALIGN=LEFT>lib/
3204         <TH ALIGN=LEFT>m4/
3205         <TH ALIGN=LEFT>&nbsp;
3206       </TR>
3207       <TR>
3208         <TH ALIGN=LEFT>Module
3209         <TH ALIGN=LEFT>Header
3210         <TH ALIGN=LEFT>Implementation
3211         <TH ALIGN=LEFT>Autoconf macro
3212         <TH ALIGN=LEFT>Depends on
3213       </TR>
3214       <TR>
3215         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgethostname"></A><A HREF="modules/xgethostname">xgethostname</A>
3216         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgethostname.h">xgethostname.h</A>"
3217         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgethostname.c">xgethostname.c</A>
3218         <TD ALIGN=LEFT VALIGN=TOP>---
3219         <TD ALIGN=LEFT VALIGN=TOP>gethostname<BR>xalloc
3220       </TR>
3221       <TR>
3222         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canon-host"></A><A HREF="modules/canon-host">canon-host</A>
3223         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/canon-host.h">canon-host.h</A>"
3224         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canon-host.c">canon-host.c</A>
3225         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canon-host.m4">canon-host.m4</A><BR>gl_CANON_HOST
3226         <TD ALIGN=LEFT VALIGN=TOP>getaddrinfo<BR>strdup
3227       </TR>
3228     </TABLE>
3229     <H3><A NAME="posix_ext_thread">Multithreading</A></H3>
3230     <TABLE>
3231       <TR>
3232         <TH ALIGN=LEFT>modules/
3233         <TH ALIGN=LEFT>lib/
3234         <TH ALIGN=LEFT>lib/
3235         <TH ALIGN=LEFT>m4/
3236         <TH ALIGN=LEFT>&nbsp;
3237       </TR>
3238       <TR>
3239         <TH ALIGN=LEFT>Module
3240         <TH ALIGN=LEFT>Header
3241         <TH ALIGN=LEFT>Implementation
3242         <TH ALIGN=LEFT>Autoconf macro
3243         <TH ALIGN=LEFT>Depends on
3244       </TR>
3245       <TR>
3246         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lock"></A><A HREF="modules/lock">lock</A>
3247         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lock.h">lock.h</A>"
3248         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lock.c">lock.c</A>
3249         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lock.m4">lock.m4</A><BR>gl_LOCK
3250         <TD ALIGN=LEFT VALIGN=TOP>havelib
3251       </TR>
3252       <TR>
3253         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tls"></A><A HREF="modules/tls">tls</A>
3254         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/tls.h">tls.h</A>"
3255         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/tls.c">tls.c</A>
3256         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tls.m4">tls.m4</A><BR>gl_TLS
3257         <TD ALIGN=LEFT VALIGN=TOP>lock
3258       </TR>
3259     </TABLE>
3260     <H3><A NAME="posix_ext_i18n">Internationalization functions</A></H3>
3261     <TABLE>
3262       <TR>
3263         <TH ALIGN=LEFT>modules/
3264         <TH ALIGN=LEFT>lib/
3265         <TH ALIGN=LEFT>lib/
3266         <TH ALIGN=LEFT>m4/
3267         <TH ALIGN=LEFT>&nbsp;
3268       </TR>
3269       <TR>
3270         <TH ALIGN=LEFT>Module
3271         <TH ALIGN=LEFT>Header
3272         <TH ALIGN=LEFT>Implementation
3273         <TH ALIGN=LEFT>Autoconf macro
3274         <TH ALIGN=LEFT>Depends on
3275       </TR>
3276       <TR>
3277         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext"></A><A HREF="modules/gettext">gettext</A>
3278         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3279         <TD ALIGN=LEFT VALIGN=TOP>---
3280         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/gettext.m4">gettext.m4</A><BR><A HREF="m4/glibc2.m4">glibc2.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/iconv.m4">iconv.m4</A><BR><A HREF="m4/intdiv0.m4">intdiv0.m4</A><BR><A HREF="m4/intmax.m4">intmax.m4</A><BR><A HREF="m4/inttypes.m4">inttypes.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/inttypes-pri.m4">inttypes-pri.m4</A><BR><A HREF="m4/isc-posix.m4">isc-posix.m4</A><BR><A HREF="m4/lcmessage.m4">lcmessage.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/nls.m4">nls.m4</A><BR><A HREF="m4/po.m4">po.m4</A><BR><A HREF="m4/printf-posix.m4">printf-posix.m4</A><BR><A HREF="m4/progtest.m4">progtest.m4</A><BR><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/size_max.m4">size_max.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/xsize.m4">xsize.m4</A><BR>AM_GNU_GETTEXT([external])<BR>AM_GNU_GETTEXT_VERSION([0.14.5])
3281         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>havelib
3282       </TR>
3283       <TR>
3284         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext-h"></A><A HREF="modules/gettext-h">gettext-h</A>
3285         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3286         <TD ALIGN=LEFT VALIGN=TOP>---
3287         <TD ALIGN=LEFT VALIGN=TOP>---
3288         <TD ALIGN=LEFT VALIGN=TOP>---
3289       </TR>
3290       <TR>
3291         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconv"></A><A HREF="modules/iconv">iconv</A>
3292         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/iconv.h.html">iconv.h</A>&gt;
3293         <TD ALIGN=LEFT VALIGN=TOP>---
3294         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconv.m4">iconv.m4</A><BR>AM_ICONV
3295         <TD ALIGN=LEFT VALIGN=TOP>havelib
3296       </TR>
3297       <TR>
3298         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconvme"></A><A HREF="modules/iconvme">iconvme</A>
3299         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/iconvme.h">iconvme.h</A>"
3300         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/iconvme.c">iconvme.c</A>
3301         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconvme.m4">iconvme.m4</A><BR>gl_ICONVME
3302         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>strdup
3303       </TR>
3304       <TR>
3305         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=localcharset"></A><A HREF="modules/localcharset">localcharset</A>
3306         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/localcharset.h">localcharset.h</A>"
3307         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/localcharset.c">localcharset.c</A><BR><A HREF="lib/config.charset">config.charset</A><BR><A HREF="lib/ref-add.sin">ref-add.sin</A><BR><A HREF="lib/ref-del.sin">ref-del.sin</A>
3308         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/localcharset.m4">localcharset.m4</A><BR>gl_LOCALCHARSET
3309         <TD ALIGN=LEFT VALIGN=TOP>---
3310       </TR>
3311       <TR>
3312         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hard-locale"></A><A HREF="modules/hard-locale">hard-locale</A>
3313         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hard-locale.h">hard-locale.h</A>"
3314         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hard-locale.c">hard-locale.c</A>
3315         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hard-locale.m4">hard-locale.m4</A><BR>gl_HARD_LOCALE
3316         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>strdup
3317       </TR>
3318       <TR>
3319         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbswidth"></A><A HREF="modules/mbswidth">mbswidth</A>
3320         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbswidth.h">mbswidth.h</A>"
3321         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbswidth.c">mbswidth.c</A>
3322         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/mbswidth.m4">mbswidth.m4</A><BR>gl_MBSWIDTH
3323         <TD ALIGN=LEFT VALIGN=TOP>---
3324       </TR>
3325       <TR>
3326         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcasecmp"></A><A HREF="modules/memcasecmp">memcasecmp</A>
3327         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcasecmp.h">memcasecmp.h</A>"
3328         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcasecmp.c">memcasecmp.c</A>
3329         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcasecmp.m4">memcasecmp.m4</A><BR>gl_MEMCASECMP
3330         <TD ALIGN=LEFT VALIGN=TOP>---
3331       </TR>
3332       <TR>
3333         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcoll"></A><A HREF="modules/memcoll">memcoll</A>
3334         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcoll.h">memcoll.h</A>"
3335         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcoll.c">memcoll.c</A>
3336         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcoll.m4">memcoll.m4</A><BR>gl_MEMCOLL
3337         <TD ALIGN=LEFT VALIGN=TOP>---
3338       </TR>
3339       <TR>
3340         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xmemcoll"></A><A HREF="modules/xmemcoll">xmemcoll</A>
3341         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xmemcoll.h">xmemcoll.h</A>"
3342         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmemcoll.c">xmemcoll.c</A>
3343         <TD ALIGN=LEFT VALIGN=TOP>---
3344         <TD ALIGN=LEFT VALIGN=TOP>memcoll<BR>gettext-h<BR>error<BR>quotearg<BR>exitfail
3345       </TR>
3346       <TR>
3347         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unicodeio"></A><A HREF="modules/unicodeio">unicodeio</A>
3348         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unicodeio.h">unicodeio.h</A>"
3349         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unicodeio.c">unicodeio.c</A>
3350         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unicodeio.m4">unicodeio.m4</A><BR>gl_UNICODEIO
3351         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>gettext-h<BR>localcharset<BR>error
3352       </TR>
3353       <TR>
3354         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rpmatch"></A><A HREF="modules/rpmatch">rpmatch</A>
3355         <TD ALIGN=LEFT VALIGN=TOP>---
3356         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rpmatch.c">rpmatch.c</A>
3357         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rpmatch.m4">rpmatch.m4</A><BR>gl_FUNC_RPMATCH
3358         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>regex
3359       </TR>
3360       <TR>
3361         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=yesno"></A><A HREF="modules/yesno">yesno</A>
3362         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/yesno.h">yesno.h</A>"
3363         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/yesno.c">yesno.c</A>
3364         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/yesno.m4">yesno.m4</A><BR>gl_YESNO
3365         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>rpmatch<BR>stdbool
3366       </TR>
3367       <TR>
3368         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf8"></A><A HREF="modules/ucs4-utf8">ucs4-utf8</A>
3369         <TD ALIGN=LEFT VALIGN=TOP>---
3370         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf8.h">ucs4-utf8.h</A>
3371         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3372         <TD ALIGN=LEFT VALIGN=TOP>---
3373       </TR>
3374       <TR>
3375         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf16"></A><A HREF="modules/ucs4-utf16">ucs4-utf16</A>
3376         <TD ALIGN=LEFT VALIGN=TOP>---
3377         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf16.h">ucs4-utf16.h</A>
3378         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3379         <TD ALIGN=LEFT VALIGN=TOP>---
3380       </TR>
3381       <TR>
3382         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf8-ucs4"></A><A HREF="modules/utf8-ucs4">utf8-ucs4</A>
3383         <TD ALIGN=LEFT VALIGN=TOP>---
3384         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf8-ucs4.h">utf8-ucs4.h</A>
3385         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3386         <TD ALIGN=LEFT VALIGN=TOP>---
3387       </TR>
3388       <TR>
3389         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf16-ucs4"></A><A HREF="modules/utf16-ucs4">utf16-ucs4</A>
3390         <TD ALIGN=LEFT VALIGN=TOP>---
3391         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf16-ucs4.h">utf16-ucs4.h</A>
3392         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3393         <TD ALIGN=LEFT VALIGN=TOP>---
3394       </TR>
3395       <TR>
3396         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebreak"></A><A HREF="modules/linebreak">linebreak</A>
3397         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebreak.h">linebreak.h</A>"
3398         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebreak.c">linebreak.c</A><BR><A HREF="lib/lbrkprop.h">lbrkprop.h</A>
3399         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/linebreak.m4">linebreak.m4</A><BR>gl_LINEBREAK
3400         <TD ALIGN=LEFT VALIGN=TOP>localcharset<BR>c-ctype<BR>iconv<BR>utf8-ucs4<BR>utf16-ucs4<BR>xsize
3401       </TR>
3402     </TABLE>
3403     <H3><A NAME="posix_ext_exec">Executing programs</A></H3>
3404     <TABLE>
3405       <TR>
3406         <TH ALIGN=LEFT>modules/
3407         <TH ALIGN=LEFT>lib/
3408         <TH ALIGN=LEFT>lib/
3409         <TH ALIGN=LEFT>m4/
3410         <TH ALIGN=LEFT>&nbsp;
3411       </TR>
3412       <TR>
3413         <TH ALIGN=LEFT>Module
3414         <TH ALIGN=LEFT>Header
3415         <TH ALIGN=LEFT>Implementation
3416         <TH ALIGN=LEFT>Autoconf macro
3417         <TH ALIGN=LEFT>Depends on
3418       </TR>
3419       <TR>
3420         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cloexec"></A><A HREF="modules/cloexec">cloexec</A>
3421         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cloexec.h">cloexec.h</A>"
3422         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cloexec.c">cloexec.c</A>
3423         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/cloexec.m4">cloexec.m4</A><BR>gl_CLOEXEC
3424         <TD ALIGN=LEFT VALIGN=TOP>stdbool
3425       </TR>
3426       <TR>
3427         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=findprog"></A><A HREF="modules/findprog">findprog</A>
3428         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/findprog.h">findprog.h</A>"
3429         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/findprog.c">findprog.c</A>
3430         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/findprog.m4">findprog.m4</A><BR><A HREF="m4/eaccess.m4">eaccess.m4</A><BR>gl_FINDPROG
3431         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc<BR>pathname<BR>unistd
3432       </TR>
3433       <TR>
3434         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=wait-process"></A><A HREF="modules/wait-process">wait-process</A>
3435         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/wait-process.h">wait-process.h</A>"
3436         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/wait-process.c">wait-process.c</A>
3437         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/wait-process.m4">wait-process.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_WAIT_PROCESS
3438         <TD ALIGN=LEFT VALIGN=TOP>fatal-signal<BR>error<BR>exit<BR>xalloc<BR>gettext-h<BR>stdbool<BR>unistd
3439       </TR>
3440       <TR>
3441         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=execute"></A><A HREF="modules/execute">execute</A>
3442         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/execute.h">execute.h</A>"
3443         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/execute.c">execute.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3444         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/execute.m4">execute.m4</A><BR>gl_EXECUTE
3445         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>fatal-signal<BR>wait-process<BR>gettext-h<BR>stdbool<BR>strpbrk<BR>unistd
3446       </TR>
3447       <TR>
3448         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pipe"></A><A HREF="modules/pipe">pipe</A>
3449         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pipe.h">pipe.h</A>"
3450         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pipe.c">pipe.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3451         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pipe.m4">pipe.m4</A><BR>gl_PIPE
3452         <TD ALIGN=LEFT VALIGN=TOP>wait-process<BR>error<BR>exit<BR>fatal-signal<BR>gettext-h<BR>stdbool<BR>strpbrk<BR>unistd
3453       </TR>
3454       <TR>
3455         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sh-quote"></A><A HREF="modules/sh-quote">sh-quote</A>
3456         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sh-quote.h">sh-quote.h</A>"
3457         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sh-quote.c">sh-quote.c</A>
3458         <TD ALIGN=LEFT VALIGN=TOP>---
3459         <TD ALIGN=LEFT VALIGN=TOP>quotearg<BR>xalloc
3460       </TR>
3461     </TABLE>
3462     <H3><A NAME="posix_ext_java">Java</A></H3>
3463     <TABLE>
3464       <TR>
3465         <TH ALIGN=LEFT>modules/
3466         <TH ALIGN=LEFT>lib/
3467         <TH ALIGN=LEFT>lib/
3468         <TH ALIGN=LEFT>m4/
3469         <TH ALIGN=LEFT>&nbsp;
3470       </TR>
3471       <TR>
3472         <TH ALIGN=LEFT>Module
3473         <TH ALIGN=LEFT>Header
3474         <TH ALIGN=LEFT>Implementation
3475         <TH ALIGN=LEFT>Autoconf macro
3476         <TH ALIGN=LEFT>Depends on
3477       </TR>
3478       <TR>
3479         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=classpath"></A><A HREF="modules/classpath">classpath</A>
3480         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/classpath.h">classpath.h</A>"
3481         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/classpath.c">classpath.c</A>
3482         <TD ALIGN=LEFT VALIGN=TOP>---
3483         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xsetenv<BR>xalloc
3484       </TR>
3485       <TR>
3486         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javacomp"></A><A HREF="modules/javacomp">javacomp</A>
3487         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javacomp.h">javacomp.h</A>"
3488         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javacomp.c">javacomp.c</A>
3489         <TD ALIGN=LEFT VALIGN=TOP>---
3490         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xallocsa<BR>execute<BR>pipe<BR>wait-process<BR>classpath<BR>xsetenv<BR>sh-quote<BR>safe-read<BR>xalloc<BR>error<BR>gettext-h<BR>javacomp-script
3491       </TR>
3492       <TR>
3493         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javaexec"></A><A HREF="modules/javaexec">javaexec</A>
3494         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javaexec.h">javaexec.h</A>"
3495         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javaexec.c">javaexec.c</A>
3496         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javaexec.m4">javaexec.m4</A><BR>gt_JAVAEXEC<BR>AC_CONFIG_FILES([javaexec.sh:build-aux/javaexec.sh.in])
3497         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>classpath<BR>xsetenv<BR>sh-quote<BR>pathname<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3498       </TR>
3499     </TABLE>
3500     <H3><A NAME="posix_ext_csharp">C#</A></H3>
3501     <TABLE>
3502       <TR>
3503         <TH ALIGN=LEFT>modules/
3504         <TH ALIGN=LEFT>lib/
3505         <TH ALIGN=LEFT>lib/
3506         <TH ALIGN=LEFT>m4/
3507         <TH ALIGN=LEFT>&nbsp;
3508       </TR>
3509       <TR>
3510         <TH ALIGN=LEFT>Module
3511         <TH ALIGN=LEFT>Header
3512         <TH ALIGN=LEFT>Implementation
3513         <TH ALIGN=LEFT>Autoconf macro
3514         <TH ALIGN=LEFT>Depends on
3515       </TR>
3516       <TR>
3517         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpcomp"></A><A HREF="modules/csharpcomp">csharpcomp</A>
3518         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpcomp.h">csharpcomp.h</A>"
3519         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpcomp.c">csharpcomp.c</A>
3520         <TD ALIGN=LEFT VALIGN=TOP>---
3521         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xallocsa<BR>execute<BR>pipe<BR>wait-process<BR>getline<BR>sh-quote<BR>safe-read<BR>error<BR>gettext-h<BR>csharpcomp-script
3522       </TR>
3523       <TR>
3524         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpexec"></A><A HREF="modules/csharpexec">csharpexec</A>
3525         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpexec.h">csharpexec.h</A>"
3526         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpexec.c">csharpexec.c</A><BR><A HREF="lib/classpath.h">classpath.h</A><BR><A HREF="lib/classpath.c">classpath.c</A>
3527         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpexec.m4">csharpexec.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR>gt_CSHARPEXEC<BR>AC_CONFIG_FILES([csharpexec.sh:build-aux/csharpexec.sh.in])
3528         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>xsetenv<BR>sh-quote<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3529       </TR>
3530     </TABLE>
3531     <H3><A NAME="posix_ext_misc">Misc</A></H3>
3532     <TABLE>
3533       <TR>
3534         <TH ALIGN=LEFT>modules/
3535         <TH ALIGN=LEFT>lib/
3536         <TH ALIGN=LEFT>lib/
3537         <TH ALIGN=LEFT>m4/
3538         <TH ALIGN=LEFT>&nbsp;
3539       </TR>
3540       <TR>
3541         <TH ALIGN=LEFT>Module
3542         <TH ALIGN=LEFT>Header
3543         <TH ALIGN=LEFT>Implementation
3544         <TH ALIGN=LEFT>Autoconf macro
3545         <TH ALIGN=LEFT>Depends on
3546       </TR>
3547       <TR>
3548         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argp"></A><A HREF="modules/argp">argp</A>
3549         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argp.h">argp.h</A>"
3550         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argp-ba.c">argp-ba.c</A><BR><A HREF="lib/argp-eexst.c">argp-eexst.c</A><BR><A HREF="lib/argp-fmtstream.c">argp-fmtstream.c</A><BR><A HREF="lib/argp-fmtstream.h">argp-fmtstream.h</A><BR><A HREF="lib/argp-fs-xinl.c">argp-fs-xinl.c</A><BR><A HREF="lib/argp-help.c">argp-help.c</A><BR><A HREF="lib/argp-namefrob.h">argp-namefrob.h</A><BR><A HREF="lib/argp-parse.c">argp-parse.c</A><BR><A HREF="lib/argp-pin.c">argp-pin.c</A><BR><A HREF="lib/argp-pv.c">argp-pv.c</A><BR><A HREF="lib/argp-pvh.c">argp-pvh.c</A><BR><A HREF="lib/argp-xinl.c">argp-xinl.c</A>
3551         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argp.m4">argp.m4</A><BR>gl_ARGP
3552         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>dirname<BR>getopt<BR>strchrnul<BR>sysexits<BR>mempcpy<BR>strndup<BR>restrict<BR>strcase<BR>extensions<BR>vsnprintf
3553       </TR>
3554       <TR>
3555         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argz"></A><A HREF="modules/argz">argz</A>
3556         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;argz.h&gt;
3557         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argz_.h">argz_.h</A><BR><A HREF="lib/argz.c">argz.c</A>
3558         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argz.m4">argz.m4</A><BR>gl_FUNC_ARGZ
3559         <TD ALIGN=LEFT VALIGN=TOP>---
3560       </TR>
3561       <TR>
3562         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=byteswap"></A><A HREF="modules/byteswap">byteswap</A>
3563         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;byteswap.h&gt;
3564         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/byteswap_.h">byteswap_.h</A>
3565         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/byteswap.m4">byteswap.m4</A><BR>gl_BYTESWAP
3566         <TD ALIGN=LEFT VALIGN=TOP>---
3567       </TR>
3568       <TR>
3569         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exitfail"></A><A HREF="modules/exitfail">exitfail</A>
3570         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exitfail.h">exitfail.h</A>"
3571         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exitfail.c">exitfail.c</A>
3572         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exitfail.m4">exitfail.m4</A><BR>gl_EXITFAIL
3573         <TD ALIGN=LEFT VALIGN=TOP>exit
3574       </TR>
3575       <TR>
3576         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-stack"></A><A HREF="modules/c-stack">c-stack</A>
3577         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-stack.h">c-stack.h</A>"
3578         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-stack.c">c-stack.c</A>
3579         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-stack.m4">c-stack.m4</A><BR>gl_C_STACK
3580         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exitfail<BR>unistd
3581       </TR>
3582       <TR>
3583         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=error"></A><A HREF="modules/error">error</A>
3584         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/error.h">error.h</A>"
3585         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/error.c">error.c</A>
3586         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/error.m4">error.m4</A><BR><A HREF="m4/strerror_r.m4">strerror_r.m4</A><BR>gl_ERROR
3587         <TD ALIGN=LEFT VALIGN=TOP>---
3588       </TR>
3589       <TR>
3590         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=extensions"></A><A HREF="modules/extensions">extensions</A>
3591         <TD ALIGN=LEFT VALIGN=TOP>---
3592         <TD ALIGN=LEFT VALIGN=TOP>---
3593         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/extensions.m4">extensions.m4</A><BR>dnl gl_USE_SYSTEM_EXTENSIONS must be added quite early to configure.ac.
3594         <TD ALIGN=LEFT VALIGN=TOP>---
3595       </TR>
3596       <TR>
3597         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdomainname"></A><A HREF="modules/getdomainname">getdomainname</A>
3598         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdomainname.h">getdomainname.h</A>"
3599         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdomainname.c">getdomainname.c</A>
3600         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdomainname.m4">getdomainname.m4</A><BR>gl_FUNC_GETDOMAINNAME
3601         <TD ALIGN=LEFT VALIGN=TOP>---
3602       </TR>
3603       <TR>
3604         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetdomainname"></A><A HREF="modules/xgetdomainname">xgetdomainname</A>
3605         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetdomainname.h">xgetdomainname.h</A>"
3606         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetdomainname.c">xgetdomainname.c</A>
3607         <TD ALIGN=LEFT VALIGN=TOP>---
3608         <TD ALIGN=LEFT VALIGN=TOP>getdomainname<BR>xalloc
3609       </TR>
3610       <TR>
3611         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getloadavg"></A><A HREF="modules/getloadavg">getloadavg</A>
3612         <TD ALIGN=LEFT VALIGN=TOP>---
3613         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getloadavg.c">getloadavg.c</A>
3614         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_GETLOADAVG
3615         <TD ALIGN=LEFT VALIGN=TOP>cloexec<BR>xalloc<BR>c-strtod<BR>intprops<BR>stdbool<BR>fcntl-safer
3616       </TR>
3617       <TR>
3618         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpagesize"></A><A HREF="modules/getpagesize">getpagesize</A>
3619         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpagesize.h">getpagesize.h</A>"
3620         <TD ALIGN=LEFT VALIGN=TOP>---
3621         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpagesize.m4">getpagesize.m4</A><BR>gl_GETPAGESIZE
3622         <TD ALIGN=LEFT VALIGN=TOP>---
3623       </TR>
3624       <TR>
3625         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getusershell"></A><A HREF="modules/getusershell">getusershell</A>
3626         <TD ALIGN=LEFT VALIGN=TOP>---
3627         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getusershell.c">getusershell.c</A>
3628         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getusershell.m4">getusershell.m4</A><BR>gl_PREREQ_GETUSERSHELL
3629         <TD ALIGN=LEFT VALIGN=TOP>stdio-safer<BR>xalloc
3630       </TR>
3631       <TR>
3632         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=physmem"></A><A HREF="modules/physmem">physmem</A>
3633         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/physmem.h">physmem.h</A>"
3634         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/physmem.c">physmem.c</A>
3635         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/physmem.m4">physmem.m4</A><BR>gl_PHYSMEM
3636         <TD ALIGN=LEFT VALIGN=TOP>---
3637       </TR>
3638       <TR>
3639         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixver"></A><A HREF="modules/posixver">posixver</A>
3640         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixver.h">posixver.h</A>"
3641         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixver.c">posixver.c</A>
3642         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixver.m4">posixver.m4</A><BR>gl_POSIXVER
3643         <TD ALIGN=LEFT VALIGN=TOP>---
3644       </TR>
3645       <TR>
3646         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=progname"></A><A HREF="modules/progname">progname</A>
3647         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/progname.h">progname.h</A>"
3648         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/progname.c">progname.c</A>
3649         <TD ALIGN=LEFT VALIGN=TOP>---
3650         <TD ALIGN=LEFT VALIGN=TOP>---
3651       </TR>
3652       <TR>
3653         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quotearg"></A><A HREF="modules/quotearg">quotearg</A>
3654         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quotearg.h">quotearg.h</A>"
3655         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quotearg.c">quotearg.c</A>
3656         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/quotearg.m4">quotearg.m4</A><BR>gl_QUOTEARG
3657         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>gettext-h<BR>stdbool
3658       </TR>
3659       <TR>
3660         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quote"></A><A HREF="modules/quote">quote</A>
3661         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quote.h">quote.h</A>"
3662         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quote.c">quote.c</A>
3663         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/quote.m4">quote.m4</A><BR>gl_QUOTE
3664         <TD ALIGN=LEFT VALIGN=TOP>quotearg
3665       </TR>
3666       <TR>
3667         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readutmp"></A><A HREF="modules/readutmp">readutmp</A>
3668         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readutmp.h">readutmp.h</A>"
3669         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readutmp.c">readutmp.c</A>
3670         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readutmp.m4">readutmp.m4</A><BR>gl_READUTMP
3671         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>free<BR>stdbool
3672       </TR>
3673       <TR>
3674         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sig2str"></A><A HREF="modules/sig2str">sig2str</A>
3675         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sig2str.h">sig2str.h</A>"
3676         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sig2str.c">sig2str.c</A>
3677         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sig2str.m4">sig2str.m4</A><BR>gl_FUNC_SIG2STR
3678         <TD ALIGN=LEFT VALIGN=TOP>intprops
3679       </TR>
3680       <TR>
3681         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sysexits"></A><A HREF="modules/sysexits">sysexits</A>
3682         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;sysexits.h&gt;
3683         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sysexit_.h">sysexit_.h</A>
3684         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sysexits.m4">sysexits.m4</A><BR>gl_SYSEXITS
3685         <TD ALIGN=LEFT VALIGN=TOP>---
3686       </TR>
3687       <TR>
3688         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=visibility"></A><A HREF="modules/visibility">visibility</A>
3689         <TD ALIGN=LEFT VALIGN=TOP>---
3690         <TD ALIGN=LEFT VALIGN=TOP>---
3691         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/visibility.m4">visibility.m4</A><BR>gl_VISIBILITY
3692         <TD ALIGN=LEFT VALIGN=TOP>---
3693       </TR>
3694     </TABLE>
3695     <H2><A NAME="build_lib">Support for building libraries and executables</A></H2>
3696     <TABLE>
3697       <TR>
3698         <TH ALIGN=LEFT>modules/
3699         <TH ALIGN=LEFT>lib/
3700         <TH ALIGN=LEFT>lib/
3701         <TH ALIGN=LEFT>m4/
3702         <TH ALIGN=LEFT>&nbsp;
3703       </TR>
3704       <TR>
3705         <TH ALIGN=LEFT>Module
3706         <TH ALIGN=LEFT>Header
3707         <TH ALIGN=LEFT>Implementation
3708         <TH ALIGN=LEFT>Autoconf macro
3709         <TH ALIGN=LEFT>Depends on
3710       </TR>
3711       <TR>
3712         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ldd"></A><A HREF="modules/ldd">ldd</A>
3713         <TD ALIGN=LEFT VALIGN=TOP>---
3714         <TD ALIGN=LEFT VALIGN=TOP>---
3715         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ldd.m4">ldd.m4</A><BR>gl_LDD<BR>AC_CONFIG_FILES([ldd.sh:build-aux/ldd.sh.in])
3716         <TD ALIGN=LEFT VALIGN=TOP>---
3717       </TR>
3718       <TR>
3719         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lib-ignore"></A><A HREF="modules/lib-ignore">lib-ignore</A>
3720         <TD ALIGN=LEFT VALIGN=TOP>---
3721         <TD ALIGN=LEFT VALIGN=TOP>---
3722         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lib-ignore.m4">lib-ignore.m4</A><BR>gl_IGNORE_UNUSED_LIBRARIES
3723         <TD ALIGN=LEFT VALIGN=TOP>---
3724       </TR>
3725     </TABLE>
3726     <H2>Unclassified modules - please update MODULES.html.sh</H2>
3727     <TABLE>
3728       <TR>
3729         <TH ALIGN=LEFT>modules/
3730         <TH ALIGN=LEFT>lib/
3731         <TH ALIGN=LEFT>lib/
3732         <TH ALIGN=LEFT>m4/
3733         <TH ALIGN=LEFT>&nbsp;
3734       </TR>
3735       <TR>
3736         <TH ALIGN=LEFT>Module
3737         <TH ALIGN=LEFT>Header
3738         <TH ALIGN=LEFT>Implementation
3739         <TH ALIGN=LEFT>Autoconf macro
3740         <TH ALIGN=LEFT>Depends on
3741       </TR>
3742       <TR>
3743         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=arcfour"></A><A HREF="modules/arcfour">arcfour</A>
3744         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/arcfour.h">arcfour.h</A>"
3745         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arcfour.c">arcfour.c</A>
3746         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/arcfour.m4">arcfour.m4</A><BR>gl_ARCFOUR
3747         <TD ALIGN=LEFT VALIGN=TOP>stdint
3748       </TR>
3749       <TR>
3750         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=arctwo"></A><A HREF="modules/arctwo">arctwo</A>
3751         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/arctwo.h">arctwo.h</A>"
3752         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arctwo.c">arctwo.c</A>
3753         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/arctwo.m4">arctwo.m4</A><BR>gl_ARCTWO
3754         <TD ALIGN=LEFT VALIGN=TOP>stdint
3755       </TR>
3756       <TR>
3757         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chdir-long"></A><A HREF="modules/chdir-long">chdir-long</A>
3758         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/chdir-long.h">chdir-long.h</A>"
3759         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chdir-long.c">chdir-long.c</A>
3760         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chdir-long.m4">chdir-long.m4</A><BR>gl_FUNC_CHDIR_LONG
3761         <TD ALIGN=LEFT VALIGN=TOP>openat<BR>mempcpy<BR>memrchr<BR>stdbool
3762       </TR>
3763       <TR>
3764         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=crc"></A><A HREF="modules/crc">crc</A>
3765         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/crc.h">crc.h</A>"
3766         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/crc.c">crc.c</A>
3767         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/crc.m4">crc.m4</A><BR>gl_CRC
3768         <TD ALIGN=LEFT VALIGN=TOP>stdint
3769       </TR>
3770       <TR>
3771         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpcomp-script"></A><A HREF="modules/csharpcomp-script">csharpcomp-script</A>
3772         <TD ALIGN=LEFT VALIGN=TOP>---
3773         <TD ALIGN=LEFT VALIGN=TOP>---
3774         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpcomp.m4">csharpcomp.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR>gt_CSHARPCOMP<BR>AC_CONFIG_FILES([csharpcomp.sh:build-aux/csharpcomp.sh.in])
3775         <TD ALIGN=LEFT VALIGN=TOP>---
3776       </TR>
3777       <TR>
3778         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=des"></A><A HREF="modules/des">des</A>
3779         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/des.h">des.h</A>"
3780         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/des.c">des.c</A>
3781         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/des.m4">des.m4</A><BR>gl_DES
3782         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>stdbool
3783       </TR>
3784       <TR>
3785         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc"></A><A HREF="modules/gc">gc</A>
3786         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3787         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gc-libgcrypt.c">gc-libgcrypt.c</A><BR><A HREF="lib/gc-gnulib.c">gc-gnulib.c</A>
3788         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc.m4">gc.m4</A><BR>gl_GC
3789         <TD ALIGN=LEFT VALIGN=TOP>havelib<BR>restrict
3790       </TR>
3791       <TR>
3792         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-arcfour"></A><A HREF="modules/gc-arcfour">gc-arcfour</A>
3793         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3794         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arcfour.h">arcfour.h</A><BR><A HREF="lib/arcfour.c">arcfour.c</A>
3795         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-arcfour.m4">gc-arcfour.m4</A><BR><A HREF="m4/arcfour.m4">arcfour.m4</A><BR>gl_GC_ARCFOUR
3796         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3797       </TR>
3798       <TR>
3799         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-arctwo"></A><A HREF="modules/gc-arctwo">gc-arctwo</A>
3800         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3801         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/arctwo.h">arctwo.h</A><BR><A HREF="lib/arctwo.c">arctwo.c</A>
3802         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-arctwo.m4">gc-arctwo.m4</A><BR><A HREF="m4/arctwo.m4">arctwo.m4</A><BR>gl_GC_ARCTWO
3803         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3804       </TR>
3805       <TR>
3806         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-des"></A><A HREF="modules/gc-des">gc-des</A>
3807         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3808         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/des.h">des.h</A><BR><A HREF="lib/des.c">des.c</A>
3809         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-des.m4">gc-des.m4</A><BR><A HREF="m4/des.m4">des.m4</A><BR>gl_GC_DES
3810         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3811       </TR>
3812       <TR>
3813         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-hmac-md5"></A><A HREF="modules/gc-hmac-md5">gc-hmac-md5</A>
3814         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3815         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.h">md5.h</A><BR><A HREF="lib/md5.c">md5.c</A><BR><A HREF="lib/hmac.h">hmac.h</A><BR><A HREF="lib/hmac-md5.c">hmac-md5.c</A><BR><A HREF="lib/memxor.h">memxor.h</A><BR><A HREF="lib/memxor.c">memxor.c</A>
3816         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-hmac-md5.m4">gc-hmac-md5.m4</A><BR><A HREF="m4/md5.m4">md5.m4</A><BR><A HREF="m4/hmac-md5.m4">hmac-md5.m4</A><BR><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_GC_HMAC_MD5
3817         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3818       </TR>
3819       <TR>
3820         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-hmac-sha1"></A><A HREF="modules/gc-hmac-sha1">gc-hmac-sha1</A>
3821         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3822         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.h">sha1.h</A><BR><A HREF="lib/sha1.c">sha1.c</A><BR><A HREF="lib/hmac.h">hmac.h</A><BR><A HREF="lib/hmac-sha1.c">hmac-sha1.c</A><BR><A HREF="lib/memxor.h">memxor.h</A><BR><A HREF="lib/memxor.c">memxor.c</A>
3823         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-hmac-sha1.m4">gc-hmac-sha1.m4</A><BR><A HREF="m4/sha1.m4">sha1.m4</A><BR><A HREF="m4/hmac-sha1.m4">hmac-sha1.m4</A><BR><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_GC_HMAC_SHA1
3824         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3825       </TR>
3826       <TR>
3827         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md2"></A><A HREF="modules/gc-md2">gc-md2</A>
3828         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3829         <TD ALIGN=LEFT VALIGN=TOP>---
3830         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md2.m4">gc-md2.m4</A><BR>gl_GC_MD2
3831         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc<BR>minmax<BR>md2
3832       </TR>
3833       <TR>
3834         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md4"></A><A HREF="modules/gc-md4">gc-md4</A>
3835         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3836         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md4.h">md4.h</A><BR><A HREF="lib/md4.c">md4.c</A>
3837         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md4.m4">gc-md4.m4</A><BR><A HREF="m4/md4.m4">md4.m4</A><BR>gl_GC_MD4
3838         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3839       </TR>
3840       <TR>
3841         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-md5"></A><A HREF="modules/gc-md5">gc-md5</A>
3842         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3843         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.h">md5.h</A><BR><A HREF="lib/md5.c">md5.c</A>
3844         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-md5.m4">gc-md5.m4</A><BR><A HREF="m4/md5.m4">md5.m4</A><BR>gl_GC_MD5
3845         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3846       </TR>
3847       <TR>
3848         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-pbkdf2-sha1"></A><A HREF="modules/gc-pbkdf2-sha1">gc-pbkdf2-sha1</A>
3849         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3850         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gc-pbkdf2-sha1.c">gc-pbkdf2-sha1.c</A>
3851         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-pbkdf2-sha1.m4">gc-pbkdf2-sha1.m4</A><BR>gl_GC_PBKDF2_SHA1
3852         <TD ALIGN=LEFT VALIGN=TOP>gc<BR>gc-hmac-sha1
3853       </TR>
3854       <TR>
3855         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-random"></A><A HREF="modules/gc-random">gc-random</A>
3856         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3857         <TD ALIGN=LEFT VALIGN=TOP>---
3858         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-random.m4">gc-random.m4</A><BR>gl_GC_RANDOM
3859         <TD ALIGN=LEFT VALIGN=TOP>gc
3860       </TR>
3861       <TR>
3862         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-rijndael"></A><A HREF="modules/gc-rijndael">gc-rijndael</A>
3863         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3864         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rijndael-alg-fst.c">rijndael-alg-fst.c</A><BR><A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A><BR><A HREF="lib/rijndael-api-fst.c">rijndael-api-fst.c</A><BR><A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>
3865         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-rijndael.m4">gc-rijndael.m4</A><BR><A HREF="m4/rijndael.m4">rijndael.m4</A><BR>gl_GC_RIJNDAEL
3866         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3867       </TR>
3868       <TR>
3869         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gc-sha1"></A><A HREF="modules/gc-sha1">gc-sha1</A>
3870         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gc.h">gc.h</A>"
3871         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.h">sha1.h</A><BR><A HREF="lib/sha1.c">sha1.c</A>
3872         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gc-sha1.m4">gc-sha1.m4</A><BR><A HREF="m4/sha1.m4">sha1.m4</A><BR>gl_GC_SHA1
3873         <TD ALIGN=LEFT VALIGN=TOP>stdint<BR>gc
3874       </TR>
3875       <TR>
3876         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethrxtime"></A><A HREF="modules/gethrxtime">gethrxtime</A>
3877         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xtime.h">xtime.h</A>"
3878         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethrxtime.c">gethrxtime.c</A><BR><A HREF="lib/gethrxtime.h">gethrxtime.h</A>
3879         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethrxtime.m4">gethrxtime.m4</A><BR><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR>gl_GETHRXTIME
3880         <TD ALIGN=LEFT VALIGN=TOP>gettime<BR>extensions
3881       </TR>
3882       <TR>
3883         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=havelib"></A><A HREF="modules/havelib">havelib</A>
3884         <TD ALIGN=LEFT VALIGN=TOP>---
3885         <TD ALIGN=LEFT VALIGN=TOP>---
3886         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lib-ld.m4">lib-ld.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR><A HREF="m4/lib-prefix.m4">lib-prefix.m4</A>
3887         <TD ALIGN=LEFT VALIGN=TOP>---
3888       </TR>
3889       <TR>
3890         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hmac-md5"></A><A HREF="modules/hmac-md5">hmac-md5</A>
3891         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hmac.h">hmac.h</A>"
3892         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hmac-md5.c">hmac-md5.c</A>
3893         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hmac-md5.m4">hmac-md5.m4</A><BR>gl_HMAC_MD5
3894         <TD ALIGN=LEFT VALIGN=TOP>memxor<BR>md5
3895       </TR>
3896       <TR>
3897         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hmac-sha1"></A><A HREF="modules/hmac-sha1">hmac-sha1</A>
3898         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hmac.h">hmac.h</A>"
3899         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hmac-sha1.c">hmac-sha1.c</A>
3900         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hmac-sha1.m4">hmac-sha1.m4</A><BR>gl_HMAC_SHA1
3901         <TD ALIGN=LEFT VALIGN=TOP>memxor<BR>sha1
3902       </TR>
3903       <TR>
3904         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inttypes"></A><A HREF="modules/inttypes">inttypes</A>
3905         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/inttypes.h.html">inttypes.h</A>&gt;
3906         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inttypes.h">inttypes.h</A>
3907         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/include_next.m4">include_next.m4</A><BR><A HREF="m4/full-header-path.m4">full-header-path.m4</A><BR><A HREF="m4/_inttypes_h.m4">_inttypes_h.m4</A><BR>gl_INTTYPES_H
3908         <TD ALIGN=LEFT VALIGN=TOP>stdint
3909       </TR>
3910       <TR>
3911         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javacomp-script"></A><A HREF="modules/javacomp-script">javacomp-script</A>
3912         <TD ALIGN=LEFT VALIGN=TOP>---
3913         <TD ALIGN=LEFT VALIGN=TOP>---
3914         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javacomp.m4">javacomp.m4</A><BR>gt_JAVACOMP<BR>AC_CONFIG_FILES([javacomp.sh:build-aux/javacomp.sh.in])
3915         <TD ALIGN=LEFT VALIGN=TOP>---
3916       </TR>
3917       <TR>
3918         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=maintainer-makefile"></A><A HREF="modules/maintainer-makefile">maintainer-makefile</A>
3919         <TD ALIGN=LEFT VALIGN=TOP>---
3920         <TD ALIGN=LEFT VALIGN=TOP>---
3921         <TD ALIGN=LEFT VALIGN=TOP>---
3922         <TD ALIGN=LEFT VALIGN=TOP>---
3923       </TR>
3924       <TR>
3925         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md2"></A><A HREF="modules/md2">md2</A>
3926         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md2.h">md2.h</A>"
3927         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md2.c">md2.c</A>
3928         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md2.m4">md2.m4</A><BR>gl_MD2
3929         <TD ALIGN=LEFT VALIGN=TOP>minmax
3930       </TR>
3931       <TR>
3932         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md4"></A><A HREF="modules/md4">md4</A>
3933         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md4.h">md4.h</A>"
3934         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md4.c">md4.c</A>
3935         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md4.m4">md4.m4</A><BR>gl_MD4
3936         <TD ALIGN=LEFT VALIGN=TOP>stdint
3937       </TR>
3938       <TR>
3939         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memxor"></A><A HREF="modules/memxor">memxor</A>
3940         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memxor.h">memxor.h</A>"
3941         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memxor.c">memxor.c</A>
3942         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memxor.m4">memxor.m4</A><BR>gl_MEMXOR
3943         <TD ALIGN=LEFT VALIGN=TOP>restrict
3944       </TR>
3945       <TR>
3946         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=openat"></A><A HREF="modules/openat">openat</A>
3947         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/openat.h">openat.h</A>"
3948         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdirat.c">mkdirat.c</A><BR><A HREF="lib/openat.c">openat.c</A><BR><A HREF="lib/openat-die.c">openat-die.c</A><BR><A HREF="lib/openat-priv.h">openat-priv.h</A>
3949         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/openat.m4">openat.m4</A><BR>gl_FUNC_OPENAT
3950         <TD ALIGN=LEFT VALIGN=TOP>save-cwd<BR>gettext-h<BR>dirname<BR>extensions<BR>intprops
3951       </TR>
3952       <TR>
3953         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=read-file"></A><A HREF="modules/read-file">read-file</A>
3954         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/read-file.h">read-file.h</A>"
3955         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/read-file.c">read-file.c</A>
3956         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/read-file.m4">read-file.m4</A><BR>gl_FUNC_READ_FILE
3957         <TD ALIGN=LEFT VALIGN=TOP>---
3958       </TR>
3959       <TR>
3960         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rijndael"></A><A HREF="modules/rijndael">rijndael</A>
3961         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A>"<BR>#include "<A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>"
3962         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rijndael-alg-fst.c">rijndael-alg-fst.c</A><BR><A HREF="lib/rijndael-alg-fst.h">rijndael-alg-fst.h</A><BR><A HREF="lib/rijndael-api-fst.c">rijndael-api-fst.c</A><BR><A HREF="lib/rijndael-api-fst.h">rijndael-api-fst.h</A>
3963         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rijndael.m4">rijndael.m4</A><BR>gl_RIJNDAEL
3964         <TD ALIGN=LEFT VALIGN=TOP>stdint
3965       </TR>
3966       <TR>
3967         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=socklen"></A><A HREF="modules/socklen">socklen</A>
3968         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;<BR>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/socket.h.html">sys/socket.h</A>&gt;
3969         <TD ALIGN=LEFT VALIGN=TOP>---
3970         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/socklen.m4">socklen.m4</A><BR>gl_TYPE_SOCKLEN_T
3971         <TD ALIGN=LEFT VALIGN=TOP>sys_socket
3972       </TR>
3973       <TR>
3974         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stat-macros"></A><A HREF="modules/stat-macros">stat-macros</A>
3975         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stat-macros.h">stat-macros.h</A>"
3976         <TD ALIGN=LEFT VALIGN=TOP>---
3977         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stat-macros.m4">stat-macros.m4</A><BR>gl_STAT_MACROS
3978         <TD ALIGN=LEFT VALIGN=TOP>---
3979       </TR>
3980       <TR>
3981         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sys_socket"></A><A HREF="modules/sys_socket">sys_socket</A>
3982         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/types.h.html">sys/types.h</A>&gt;<BR>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/socket.h.html">sys/socket.h</A>&gt;
3983         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/socket_.h">socket_.h</A>
3984         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sys_socket_h.m4">sys_socket_h.m4</A><BR>gl_HEADER_SYS_SOCKET
3985         <TD ALIGN=LEFT VALIGN=TOP>---
3986       </TR>
3987     </TABLE>
3988     <H2>Lone files - please create new modules containing them</H2>
3989     <PRE>
3990 <A HREF="lib/mkstemp-safer.c">lib/mkstemp-safer.c</A>
3991 <A HREF="lib/progreloc.c">lib/progreloc.c</A>
3992 <A HREF="lib/stdlib--.h">lib/stdlib--.h</A>
3993 <A HREF="m4/gnulib-tool.m4">m4/gnulib-tool.m4</A>
3994 <A HREF="m4/host-os.m4">m4/host-os.m4</A>
3995 <A HREF="m4/jm-winsz1.m4">m4/jm-winsz1.m4</A>
3996 <A HREF="m4/jm-winsz2.m4">m4/jm-winsz2.m4</A>
3997 <A HREF="m4/link-follow.m4">m4/link-follow.m4</A>
3998 <A HREF="m4/onceonly.m4">m4/onceonly.m4</A>
3999 <A HREF="m4/perl.m4">m4/perl.m4</A>
4000 <A HREF="m4/ptrdiff_max.m4">m4/ptrdiff_max.m4</A>
4001 <A HREF="m4/readdir.m4">m4/readdir.m4</A>
4002 <A HREF="m4/rmdir-errno.m4">m4/rmdir-errno.m4</A>
4003 <A HREF="m4/st_dm_mode.m4">m4/st_dm_mode.m4</A>
4004 <A HREF="m4/stdlib-safer.m4">m4/stdlib-safer.m4</A>
4005 <A HREF="m4/uint32_t.m4">m4/uint32_t.m4</A>
4006 <A HREF="m4/uintptr_t.m4">m4/uintptr_t.m4</A>
4007 <A HREF="m4/unlink-busy.m4">m4/unlink-busy.m4</A>
4008 <A HREF="m4/uptime.m4">m4/uptime.m4</A>
4009 <A HREF="m4/warning.m4">m4/warning.m4</A>
4010 </PRE>
4011     <H2>Future developments</H2>
4012     Ideally a module could consist of:
4013     <UL>
4014       <LI>A header file: lib/<VAR>module</VAR>.h
4015       <LI>One or more implementation files: lib/<VAR>module</VAR>.c et al.
4016       <LI>One or more autoconf macro files: m4/<VAR>module</VAR>.m4 et al.
4017       <LI>A configure.ac fragment, Makefile.am fragment, dependency list: modules/<VAR>module</VAR>
4018       <LI>A testsuite: source files in tests/ and metainformation (a configure.ac fragment, Makefile.am fragment, dependency list) in modules/<VAR>module</VAR>-tests
4019       <LI>Some documentation
4020       <LI>A POT file and some PO files
4021     </UL>
4022     <HR>
4023     Generated from <CODE>MODULES.html.sh</CODE> on 22 June 2006.
4024   </BODY>
4025 </HTML>