X-Git-Url: http://erislabs.net/gitweb/?a=blobdiff_plain;f=modules%2Fcount-one-bits;h=b836bfa2c1476a90470eccc633cb4f8277cabf70;hb=802ee67ef72e378c633b54963c65f1fe89f00d4f;hp=84160d3d2b5105a4b50b8b10b831e751e150f328;hpb=e9cfd9f2d0d75d5d09d0acff6cb578a5c039c45c;p=gnulib.git diff --git a/modules/count-one-bits b/modules/count-one-bits index 84160d3d2..b836bfa2c 100644 --- a/modules/count-one-bits +++ b/modules/count-one-bits @@ -6,7 +6,6 @@ lib/count-one-bits.h m4/count-one-bits.m4 Depends-on: -inline verify configure.ac: @@ -18,7 +17,7 @@ Include: "count-one-bits.h" License: -GPL +LGPLv2+ Maintainer: Ben Pfaff