verify: new macro 'assume'
[gnulib.git] / modules / secure_getenv
2013-02-05 Paul Eggertsecure_getenv: new module